全国大学生电子设计竞赛设计报告

上传人:壹****1 文档编号:564785271 上传时间:2023-12-06 格式:DOC 页数:21 大小:438KB
返回 下载 相关 举报
全国大学生电子设计竞赛设计报告_第1页
第1页 / 共21页
全国大学生电子设计竞赛设计报告_第2页
第2页 / 共21页
全国大学生电子设计竞赛设计报告_第3页
第3页 / 共21页
全国大学生电子设计竞赛设计报告_第4页
第4页 / 共21页
全国大学生电子设计竞赛设计报告_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《全国大学生电子设计竞赛设计报告》由会员分享,可在线阅读,更多相关《全国大学生电子设计竞赛设计报告(21页珍藏版)》请在金锄头文库上搜索。

1、D甲 函数信号发生器制作鲁东大学王 震 崔振萍 高 洁 专家点评:该项目运用了基于Nios嵌入式处理器的SOPC技术,由NIOS处理器来控制FPGA内部的信号发生部分实现各项功能,设计了函数信号发生器。理论分析完整,测试方案正确,测试数据充分。其特点是充分利用了EDA开发工具与FPGA内部资源,提高了系统的稳定性和抗干扰能力,得到很好的结果。 山东大学(威海) 郑亚民副教授 2008/9/24摘要:本设计运用了基于Nios嵌入式处理器的SOPC技术,设计完成了函数信号发生器系统。本系统的DDS 信号发生部分由FPGA最小系统加DAC904构成,控制与信息显示部分由单片机最小系统加键盘,液晶显示

2、器构成。单片机接受键盘指令通过串口将控制字,发送到FPGA内部的NIOSII处理器,由NIOS处理器来控制FPGA内部的信号发生部分实现各项功能。本系统充分利用了EDA开发工具与FPGA内部资源,提高了系统的稳定性和抗干扰能力,得到很好的输出效果。 关键字:Nios;SOPC;FPGA; DAC904;EDA;LCD。The production of the function signal generatorAbstract:The system uses the SOPC technology based on Embedded Prosesser of Niosas a control

3、on topics designed to function signal generator system , the DDS signal of the system is consisted of the FPGA smallest system and DAC904. the control and information display system include MCU smallest system , keyboard, LCD display. MCU accepts keyword commands and send the control word through th

4、e serial port to the internal NIOSII processor in FPGA. NIOSII controls the internal signal of FPGA to realize each function. This system has used the EDA development kit and the FPGA interior resources fully, enhanced systems stability and antijamming ability, obtains good output effect.Keywords: N

5、ios;SOPC;FPGA; DAC904;EDA;LCD。目 录一 整体方案论证与确定4二 理论分析与计算5三 主要功能电路设计6四 系统软件设计12五 测试结果与分析13六 结论15附录16参考文献22一 整体方案论证与确定方案一:采用单片机控制合成各种波形 此方案硬件电路简单,所用器件少。波形的选择,生成及频率的控制均由单片机编程实现。此法产生的波形的频率范围,步进值取决于所采用的每个周期的输出点数及单片机执行指令的时间。此方案实现各种波形相对容易,在低频区基本上能实现要求的功能,但是,控制较复杂,精度不易满足,生成波形频率范围小,特别是难以生成高频波形。方案二:采用DDS技术直接合成各

6、种波形 此方案基于单片机的方式,以单片机为控制中心,通过对专用的DDS芯片如AD9854编程,产生所需要的各种波形(原理框图见A-1).该方案简单,易于实现。 LCD显示4*4键盘 MCUAD985X 晶振LPF (A-1)方案三:采用基于单片机和FPGA的片上可编程系统方案 此方案将相应的正弦波的波形数据及三角波、方波的函数表格存储在存储器EPROM中,通过单片机控制选择波形,频率及幅度等参数,从存储器中读取相应的函数表格中的数据或正弦波的波形数据,若要显示锯齿波可直接从累加器的输出端取出波形数据,将数据经过处理再送至DAC904,再经过DAC904进行数模转化,从而可以得到所要求的各种波形

7、(系统整体框图见A-2)。此方法利用了单片机在软件控制设计方面的通用性和FPGA在逻辑设计上的优势,能够得到较高的频率分辨率,产生信号的频率和幅度的稳定性高,可以得到较宽频率范围内的波形,很方便的与计算机接口,且调试方便,简化了控制机及接口,有利于提高集成度,可靠性和灵活性。LCD显示4*4键盘DAC904FPGA MCU运放、LPF (A-2)综合考虑以上三种方案的优缺点以及题目的基本要求和发挥部分要求,我们选用第三种方案,即采用基于单片机和FPGA的片上可编程系统方案。这种设计充分利用了FPGA的资源,减少了CPU与外设的的接口,在很大程度上提高了系统的速度、可靠性。二 理论分析与计算1.

8、 数字频率合成技术原理数字频率合成由相位累加器、存储在ROM中的相关波形数据及函数表格、DAC904和低通滤波器所组成的部分实现。参考时钟是一个稳定的晶振,相位累加器类似于计数器,在每个时钟脉冲输入时,它就输出一个相位增量(框图如B-1),即把频率控制字FTW的数据变成相位抽样来确定输出频率。 (B-1) 相位累加器 相位增量随指令FTW的不同而不同,用在数据寻址时,查表就把存储的抽样值转换成为要产生波形幅度的数字量。DAC把数字量变为模拟量,低通滤波器平滑栟榈吊带外杂散后,得到所需波形。2分析计算 根据题目的基本要求,频率范围为100HZ100KHZ,为使其频率范围扩展为1HZ1MHZ。在1

9、HZ1KHZ范围内步进间隔为1HZ;在1KHZ1MHZ范围内步进间隔为100HZ。 根据:输出频率: 最小频率分辨率: 其中m为相位累加器的位数,FTW为频率控制字,为时钟频率,输出信号频率主要取决于频率控制字FTW.当N增大时, 可以不断增加,综合考虑Nquist采样定理,最高输出频率应小于.根据实验所得,实际工作频率应小于。 的取值受D/A转换速率的限制,由于数模转换部分采用的是高速DAC904,其转换速率约为160MHZ。综合考虑后,选取基准频率为160MHZ,。 选取相位累加器位数为m为32,频率分辨率为 这样可以经过键盘输入实现1HZ步进和100HZ步进。 三 主要功能电路设计1 单

10、片机控制模块本设计中,采用的是ADUC845最小系统板,其基本电路如图C-1-1所示。中心部分是单片机ADUC845,它有五个扩展的I/O口,外部RAM存储空间是00007FFF。自带A/D,D/A,功能强大。 (C-1-1)单片机最小系统 本次设计中用单片机控制CH451驱动键盘,通过软件编程控制按键实现波形的切换,频率以及幅度的步进并用LCD显示,而且本次设计中,还通过CH451驱动8位LED显示DS1302的初始化时间并进行计数显示,还可以通过按键对时间进行设置。键盘的控制电路如图C-1-2所示: (C-1-2)键盘控制电路2 FPGA控制模块(1)基本构成图C-2是将各功能模块集成到一

11、个FPGA器件上的原理图,它由波形选择器(图c-2-1),相位累加器(freadd)(图B-1),波形发生器,幅度调制器(图c-2-2)构成。 (c-2-1) 波形选择器波选择器用来进行波形选择,控制输出波形的形状,其中data1-4分别是正弦、方波,三角波,锯齿波的数据输入,en1-en4分别位它们的使能端,通过使能端的值,控制输出那种波形。(c-2-2) 幅度调制器幅度调节器如上图所示用来实现对输出信号的幅度进行调节,通过控制信号fudu_in的值的不同来对波形选择器输出的波形的幅度进行调节,fudu_in的输入范围为0-50,可以实现0.1V步进的幅度调节。 (2)各波形的产生原理 针对

12、不同的波形,采用不同的方法进行生成。 针对正弦波对幅度为1 的正弦波的一个周期进行4096 点采样,结合DAC904的AD编码特点,利用Matlab 计算得到每一点对应的幅度值,根据图c-2-3得其基本计算公式为: (c-2-3)并将其转化为符合DAC904的AD编码规则的14位数字信号存入ROM中,将相位累加器输出的高12位作为查询地址,(图c-2-4)(c-2-4)将查询结果输出到波形选择器的data1端。该数据经DA转换后可生成连续的正弦波。 方波的产生原理与正弦波类似,同样可以通过查表的方式产生,由于方波只有两个幅度值所以表中只存放2个14位的数据,直接利用相位累加器的最高位作为查询地

13、址即可。(图c-2-5)。(c-2-5)由于锯齿波的波形是一个单调递增的循环累加过程,相位累加器的输出同样也是单调递增循环累加的过程,因此不用查表可以直接将相位累加器的高14位作为锯齿波的幅度值输出到波形选择器的data4端。三角波在半周期内单调递增,在半周期内单调递减,因此可以将相位累加器的最高位作为方向标志位,当最高位为0是可以将相位累加器的3017位(记为xiangwei30:17)作为三角波的半个周期内的幅度输出,当最高位为1,用3fff-xiangwei30:17作为三角波的幅度输出。整个过程可以用简单的Verilog语言描述(图c-2-6)。(c-2-6)3 D/A转换模块 本次设计中选用的是BB公司生产的DAC904,该 DAC为互补电流输出型 DAC,具有 14 bit分辨率 , 165MSBS的转换速率 ,含有内部基准 ,具有满量程输出调节功能。如图 C-3-1所示 ,数据线上的数据在时钟的下降沿打入 DAC的输出电流寄存器 ,输出电流在 1ns后变化为给定值。R 为满量程调节电阻。定义FSB总输出电流 ,根据 DAC904器件手册。,。Code为DAC的输出电流寄存器的值。 (C-3-1)DAC904由于DAC904是电流型输出的DA芯片因此需要接入负载将电流转化

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号