QuartusII工具使用.doc

上传人:M****1 文档编号:564401586 上传时间:2023-09-09 格式:DOC 页数:19 大小:1,008.01KB
返回 下载 相关 举报
QuartusII工具使用.doc_第1页
第1页 / 共19页
QuartusII工具使用.doc_第2页
第2页 / 共19页
QuartusII工具使用.doc_第3页
第3页 / 共19页
QuartusII工具使用.doc_第4页
第4页 / 共19页
QuartusII工具使用.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《QuartusII工具使用.doc》由会员分享,可在线阅读,更多相关《QuartusII工具使用.doc(19页珍藏版)》请在金锄头文库上搜索。

1、实验一 Quartus II9.0软件安装与工具使用一、实验目的1.掌握Quartus II9.0软件安装及License设置方法;2.掌握Quartus II9.0的开发界面和开发流程;3.掌握利用原理图方法进行简单电路设计;4.了解利用verilog语言进行电路设计的优点;5.掌握对设计电路进行波形功能仿真的方法。二、实验设备1.硬件:PC机、便携式EDA/SOPC/DSP实验系统;2.软件:Quartus II9.0。三、实验内容及步骤(一)Quartus II9.0软件的安装(1)确保机器上尚未安装其它任何版本的Quartus软件。若有,则先卸载,再重新安装;(2)打开Quartus

2、II9.0软件包源文件所在位置,运行quartussetup.exe,开始安装过程。请按屏幕提示进行,并建议采用默认路径(注:所有路径中不能有中文名称和空格);(3)进入Quartus II9.0安装界面,如图1.1所示。按屏幕提示进行安装。图1.1 安装界面(4)根据提示选择安装方式,可以点选Complete为完全安装组件,也可以点选Custom为自定义安装组件。这里选择Complete完全安装组件,为了节约安装空间也可选择Custom自定义安装组件,如图1.2所示。图1.2 选择完全安装方式(5)待安装结束后,将CrackCrack_QII9.0_SP2.rar拷贝到安装路径中的bin中(

3、若使用默认设置,则安装路径为c:alteraquartusbin),解压Crack_QII9.0_SP2.rar文件;(6)双击Quartus_II_9.0_SP2破解器.exe文件,并点击应用补丁,然后点击保存license.DAT文件,最后点击退出应用补丁;(7)在c:alteraquartusbin中找到license.DAT文件,并以记事本的形式打开,将文件里所有的“HOSTID=xxxxxxxxxxxx”中原有12位符号全部替换为本机网卡号(在Quartus II9.0的Tools菜单下选择License Setup,下面就有(NIC)ID网卡号)并保存,如图1.3所示。至此,Qua

4、rtus II9.0软件安装完毕。图1.3 修改license.DAT文件中的MAC地址(二)设置Licecse(1)点击桌面“quartusII9.0”软件,屏幕上出现要求进行Licecse设置的界面,如图1.4所示。图1.4 要求进行License设置(2)点选“Specify valid license file”选项,进入图1.5所示的License设置窗口。图1.5 设置License(3)点击“License file”行最右边的符号,浏览至安装路径下经修改网卡号后的license.DAT文件,并确定打开。(4)观察“Current License”中的显示内容,确定License

5、 Type为Full Version,Host ID Value为本机网卡号,则软件的License设置正确。注:必须保证License设置完全正确,才能正常使用QuartusII9.0的所有功能。至此,QuartusII9.0软件可以正常使用,但为了使用JTAG下载编程功能,则还需安装实验系统所配备的USB Blaster下载器驱动程序并进行硬件设置。(三)USB Blaster下载器驱动程序安装与硬件设置(1)在PC机USB插孔中插入USB Blaster线,PC机会出现发现新设备窗口,如图1.6所示。在这里选择“从列表或指定位置安装(高级)”,并点击“下一步”。图1.6新硬件向导窗口(2

6、)接下来,如图1.7所示,在这里选择“在这些位置上搜索最佳驱动程序”,并在位置栏中指定驱动安装文件路径,如“D:altera90quartusdriversusb-blaster”,然后点击“下一步”。当然,在本例中,由于Quartus II9.0安装在C:altera90的目录下,因此该指定路径需改为“C:altera90quartusdriversusb-blaster”。图1.7 安装路径选择窗口(3)在点击下一步后,安装向导正在安装Altera USB-Blaster驱动,如图1.8所示。图1.8 驱动正在安装窗口(4)安装向导完成安装后,会弹出如图1.9所示窗口,提示已经完成Alte

7、ra USB-Blaster的安装。图1.9 驱动已安装完成窗口(5)点击“完成”按钮后,在PC机任务栏的右下角托盘中会弹出如图1.10所示的提示信息“新硬件已经安装并可使用了”。图1.10 发现新硬件(6)进入Quartus II9.0,点击菜单“ToolsProgrammer”打开下载器窗口,如图1.11所示。图1.11 下载器窗口(7)确认图1.11中的当前下载器类型为USB-Blaster,若显示No Hardware或其它类型,则点击Hardware Setup进行下载器硬件设置,如图1.12所示,并选择“Currently selected hardware”下的USB-Blast

8、erUSB-0,然后关闭该窗口。图1.12 下载器硬件选择至此,实验系统所配的USB-Blaster串口下载器已安装并设置完毕。(四)Quartus II9.0的开发界面和开发流程操作下面以全加器为实例,对设计文件的原理图输入和verilog编程输入两种方法进行详细说明。(1)新建工程1)双击桌面Quartus II9.0图标,打开Quartus II9.0工作环境,如图1.13所示。图1.13 打开Quartus II9.0工作环境2)点击菜单“FileNew Project Wizard”开始新建一个工程。打开Wizard之后,界面如图1.14所示。图1.14 New Project Wi

9、zard界面3)点击图1.14界面中的Next,进入输入设计工程信息界面,输入工程工作路径、工程文件名以及顶层实体名,如图1.15所示。注:要求任何目录名、文件名、实体名等均不能使用中文;顶层实体名必须与之后设计文件(比如xx.bdf或xxx.v等文件)的顶层模块名相同,默认实体名与工程名相同,本次实验采用这种命名方法,当然用户也可以根据需要输入不同的实体名。图1.15 输入工程设计信息界面4)添加设计文件,界面如图1.16所示。若用户之前已经有设计文件(比如xx.bdf或xxx.v等文件),那么再次添加相应文件;若没有完成的设计文件,点击Next,之后添加并且编辑设计文件。图1.16 添加设

10、计文件5)选择设计所用的器件。由于本次实验所使用FPGA器件为ALTERA公司Cyclone II系列的EP2C8Q208C8器件,因此,需在如图1.17窗口所示的Famliy菜单中选择Cyclone II,在Target device 选项框中选择“Specific device selected inAvailable deviceslist”,并在Available devices列表中选择EP2C8Q208C8这个型号的器件。完成后点击Next。图1.17 选择工程将使用的器件6)设置EDA工具。设计中可能会用到EDA工具有综合工具、仿真工具以及时序分析工具。在本次实验中不使用这些工具

11、,因此点击图1.18中的Next直接跳过设置。图1.18 设置EDA工具7)查看新建工程总结。在基本设计完成后,Quartus II9.0会自动生成一个总结让用户核对之前的设计信息(如图1.19所示),确认后点击Finish完成新建。图1.19 新建工程总结8)完成新建项目后,进入Quartus II9.0开发环境,在工程导航器(Project Navigator)的Hierarchy标签栏中将会出现新建工程的基本信息(工程名及所选用的器件型号),如图1.20所示。图1.20 新建工程导航器8)点击菜单“AssignmentsDeviceDevice and Pin OptionsUnused

12、 Pins”,打开图1.21所示窗口,将所选芯片的全部未使用引脚设置为三态输入模式(As input tri-stated)。图1.21 设置未使用引脚的处理方式(2)设计输入原理图文件输入法1)点击菜单“FileNew”,在Design Files页中选择Block/Diagram/Schematic File,为工程新添一个原理图文件(初始文件名为Block1.bdf,在此另存文件名为f_adder.bdf),如图1.22所示。原理图设计区原理图设计工具栏图1.22 原理图设计界面2)在原理图设计区域空白处双击左键,打开原理图符号库,如图1.23所示。图1.23 原理图符号库3)从符号库的

13、primitivespin子类中,选择input,在原理图设计区域放置3个输入信号引脚,并分别修改器名称为A,B,CI;从符号库的primitivespin子类中,选择output,在原理图设计区域放置2个输出信号引脚,并分别修改器名称为SO和CO;同理,从符号库的primitiveslogic子类中,选择2个两输入异或门(xor)和3个两输入与非门(nand2),如图1.24所示。注:各器件的获取也可从Name栏里输入名字得到。图1.24 在原理图设计区域放置所需器件4)根据1位全加器的逻辑电路结构,用鼠标点击各器件端点并拖拉至其它节点,可实现各节点之间的连线,如图1.25所示。5)当各连线

14、全部完成后,以合适的文件名保存文件(这里使用默认工程名f_adder),至此,完成设计的原理图输入。图1.25连接器件各节点(3)综合(编译)1)点击菜单“ProcessingStart Compilation”,开始对工程进行综合。在此过程中,状态栏、信息栏将分别实时显示分析、综合、适配的进度及相关信息,如图1.26所示。 2)当综合结束时,系统将给出有关综合过程的总结,主要说明设计的综合编译是否成功、设计是否存在错误或警告,并给出设计所占用的PLD硬件资源等,如图1.27所示。3)在某些情况下,设计中存在警告(warning)问题可能不会影响其功能实现,但若存在错误(error)的话,则该

15、设计不可能正确实现其功能,后续的仿真及编程下载也无法进行。图1.26 综合(编译)的过程描述图1.27 综合过程结束后的总结信息(4)功能仿真1)点击菜单“FileNew”,在Verification/Debugging Files页中选择Vector Waveform File,为工程新添一个用于仿真的失量波形图文件,如图1.28所示。其中,工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值;信息栏主要用于显示观察节点;波形栏主要用于显示输入激励波形和输出波形。工具栏信息栏波形栏图1.28 用于仿真的失量波形图界面2)在波形编辑方式下,点击菜单“EditInsertInsert Node or Bus”,或者在信息栏的空白处点击鼠标右键,点击“InsertInsert Node or Bus”,或者在信息栏的空白处双击左键,弹出如图1.29所示的插入节点或总线(Insert Node or Bus)对话框。图1.29 插入信号节点对话框3)在“Insert Node or Bus”对话框中点击“Node Finder”键,弹出如图1.30所示的节点搜索界面,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号