四路彩灯显示系统-数电课程设计

上传人:M****1 文档编号:564398930 上传时间:2023-03-16 格式:DOC 页数:18 大小:309.50KB
返回 下载 相关 举报
四路彩灯显示系统-数电课程设计_第1页
第1页 / 共18页
四路彩灯显示系统-数电课程设计_第2页
第2页 / 共18页
四路彩灯显示系统-数电课程设计_第3页
第3页 / 共18页
四路彩灯显示系统-数电课程设计_第4页
第4页 / 共18页
四路彩灯显示系统-数电课程设计_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《四路彩灯显示系统-数电课程设计》由会员分享,可在线阅读,更多相关《四路彩灯显示系统-数电课程设计(18页珍藏版)》请在金锄头文库上搜索。

1、精选优质文档-倾情为你奉上 课 程 设 计课程名称 数字电子技术课程设计课题名称 四路彩灯显示系统 专 业 电气工程及其自动化 班 级 电气0781班 学 号 0 姓 名 指导教师 赵振兴 2010 年 1 月10日湖南工程学院课程设计任务书 课程名称: 数字电子技术 题 目:四路彩灯显示系统 专业班级: 电气0781 学生姓名: 学号: 10 指导老师: 赵振兴 审 批:任务书下达日期 2010 年 1 月 4 日设计完成日期 2010 年 1 月 10 日设计内容与设计要求 一、 任务与要求:用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:1、 开机自动置入初始状态后即能按规定的

2、程序进行循环显示。2、 程序由三个节拍组成:第一节拍时,四路输出Q1Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,Q4Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,Q1Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执行一次程序共需12秒3、 用发光二极管显示彩灯系统的各节拍;4、 功能扩展(自选)二、设计要求:1、 设计思路清晰,给出整体设计框图;2、 设计各单元电路,给出具体设计思路、电路器件;3、 总电路设计;4、 计算机仿真5、 安装调试电路

3、;6、 写出设计报告;主要设计条件1. 提供直流稳压电源、示波器; 2. 提供 TTL集成电路芯片、电阻、电容及插接用面包板、导线等。说明书格式1、 课程设计封面;2、 课程设计任务书;3、 说明书目录;4、 设计总体思路,基本原理和框图;5、 单元电路设计(各单元电路图);6、 总电路设计(总电路图);7、 安装、调试步骤;8、 故障分析与电路改进;9、 总结与设计调试体会;10、 附录(元器件清单);11、 参考文献;12、 课程设计成绩评分表。进 度 安 排 第一周 星期一 上午 安排任务、讲课。 星期一 星期五上午 查资料、设计第二周1、 计算机仿真2、 测试元器件3、 调试单元电路4

4、、 调试总电路5、 调试验收6、 写课程设计报告书 星期五下午 答辩地 点:实验楼四楼 电子综合实验室第一章 系统组成及工作原理1.1 设计总体思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。1.2 基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由

5、于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR。可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。1.3 框图移位计数器74LS19112进制循环控制器多 谐 振 荡 器彩 灯 显 示 输 出16进制分频计数器第二章 单元电路设计2.1 时钟脉冲产生电路用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:T=0.7(R1+2R2)C(1-1)控制74LS161模十六计数器电阻值和电容值可设为:R1=1K

6、 , R2=20K , C=0.01F由公式(1-1)计算得:T=0.287S图. 时钟电路2.1.1 具体实现 74LS161用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S。2.2 循环控制电路 12进制循环控制电路示意图(74LS161由74163代替)2.2.1 具体实现:如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从00001011,检测1100(异步清

7、零)。2.3 彩灯花样输出电路2.3.1 运用到74LS194功能表输 入输 出功 能/CRS1S0CPSLSRD0 D1 D2 D3Q0 Q1 Q2 Q300000清 零111d0d1d2d3d0d1d2d3送 数10111Q0nQ1nQ2n右 移1100Q1nQ2nQ3n0左 移2.3.2 通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其端真值表CLK时间节拍QDQCS0S1SRSL74LS194动作0脉冲B1第一节拍00101*右移112001023001034001045第二节拍0101*0左移05601016701017801018脉冲A9第三节拍1011*送清零

8、9101110101011111011121113141215由上表由:CLR(*A+QD)进一步分析可知脉冲控制:(*A+QD)*+B2.3.3 彩灯花样输出电路接线图第三章 总电路设计第四章 实验、调试及测试结果分析4.1 结果的调试及分析4.1.1 调试使用的主要仪器: 数字万用表 直流稳压电源 示波器 函数信号发生器4.1.2 测试电路的方法和技巧:先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。4.2 调试中出现的故障、原因及排除方法

9、:4.2.1 彩灯只有一种花样变化,没有其它的花样:可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。4.2.2 彩灯无规律变化:原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即QA、QB、QC、QD是否周期性的高低电平变化,前面没问题,再检查与非门74LS和非门74LS04是否能实现它们逻辑功能。4.2.3 彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:原因可

10、能是控制循环的进制计数器没有真确接线,实际控制循环的进制大于进制,导致有放空时间。应该检查是否正常工作,确保能实现进制计数。第五章 总结与设计调试体会当完成这次课程设计后,感觉很有成就感,因为从电路设计到电路仿真,接线,测试都是自己独立完成。第一次真正体会到将所学知识运用到实践中的快乐,最终得到了完美的结果,但是最重要的是经历一次如此难忘的过程。设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。在完成这次设计的过程中,我也有参考相关的设计课题,

11、甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。这就是快乐的最大源泉。在完成设计的过程中自己不断解决了一个个的难题,要通向最后的成功,绝不会一蹴而就,饭要一口一口地吃,路要一步一步地走,做事要踏实,实事求是,不能好高骛远。在电路接线过程中,也出现了很多问题,问题多并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。这是一次获益匪浅的实习。第六章 附录6.1 元器件清单:555定时器,模十六计数器74LS161, 与门74LS09,与非门74LS20,非门74LS04,或门74LS32。74LS194双向移位寄存器,发光二极管等。6.2 参 考 文 献电子技术课程设计历雅萍、易映萍编电子技术课程设计指导 彭介华、主编 高等教育出版社电子线路设计、实验、测试 谢自美主编华中理工出版社。电气与信息工程系课程设计评分表项 目评 价设计方案的合理性与创造性硬件制作或软件编程完成情况*硬件制作测试或软件调

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号