出租车自动计费器设计课程设计报告模板优质内容

上传人:鲁** 文档编号:563933479 上传时间:2023-08-12 格式:DOC 页数:27 大小:642KB
返回 下载 相关 举报
出租车自动计费器设计课程设计报告模板优质内容_第1页
第1页 / 共27页
出租车自动计费器设计课程设计报告模板优质内容_第2页
第2页 / 共27页
出租车自动计费器设计课程设计报告模板优质内容_第3页
第3页 / 共27页
出租车自动计费器设计课程设计报告模板优质内容_第4页
第4页 / 共27页
出租车自动计费器设计课程设计报告模板优质内容_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《出租车自动计费器设计课程设计报告模板优质内容》由会员分享,可在线阅读,更多相关《出租车自动计费器设计课程设计报告模板优质内容(27页珍藏版)》请在金锄头文库上搜索。

1、目 录1 绪论11.1 设计背景11.2 QUARTUS II简介11.3 VHDL语言基础22 出租车计费器总体设计结构22.1 系统设计要求和目的22.2.1 系统设计要求22.2.1 系统设计目的22.2 设计思路32.3 系统总体结构32.4 出租车计费器系统工作流程图43 出租车计费器的实现53.1 出租车计费器的顶层原理图53.2 系统各功能模块的实现53.2.1 计费模块JIFEI53.2.2 计量模块JILIANG63.2.3 显示控制模块SELTIME73.2.4 显示模块DELED74 出租车计费器系统仿真及分析84.1 计费系统的仿真84.2 单元模块的仿真及分析104.

2、2.1 译码显示模块的仿真及分析104.2.2 显示控制模块的仿真及分析124.2.3 计量模块的仿真及分析134.2.4 计费模块的仿真及分析135 锁定管脚及硬件实现145.1 锁定管脚图145.2 硬件实现145.2.1 显示结果的几种情况155.2.2 硬件实现总结166 设计体会与总结17参考文献18附 录191 JILIANG模块的VHDL编程192 JIFEI模块的VHDL编程213 SELTIME控制模块的VHDL编程224 DELED模块的VHDL编程23车辆a1 绪论1.1 设计背景随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行

3、选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规范化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必

4、需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。1.2 QUARTUS II简介QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻

5、辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。1.3 VHDL语言基础一般而言,一个相对完整的VHDL语言程序至少应三个基本组成部分:库(Library)、程序包(Package)使用说明;实体(Entity)说明;实体对应的结构体(Architecture)说明。其中,库、程序包使用说明用于打开(调用)本设计实体将要用到的库、程序包;实体说明用于描述该设计实体与外界的接口信号说明,是可视部分;结构体说明用于描述该设计实体内部工作的逻辑关系,是不可视部分。在一个实体

6、中,允许含有一个或多个结构体,而在每一个结构体中又可以含有一个或多个进程以及其他的语句。根据需要,实体还可以有配置说明语句。配置说明语句主要用于以层次化的方式对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。2 出租车计费器总体设计结构2.1 系统设计要求和目的2.2.1 系统设计要求1.起步价为5.0元,起步公里为3公里;2.超过3公里,每公里按1元收费,也可每0.1公里按0.1元收费;3.等候时间累计1分钟,按每分钟0.1元收费;4.用三个数码管显示总金额,最大值为99.9元;用三个数码管显示总里程,最大值99.9公里;用两个数码管显示等待时间,最大值99分钟。2.2.1 系统

7、设计目的1.通过这次实验设计使我们加深对EDA技术与数字系统设计相关理论和概念的理解,培养我们的理论联系实际的能力,特别是实际动手能力。2.学习使用QUARTUS II软件设计。3.根据原理图学会用VHDL语言设计时序电路。4.学会绘制功能仿真波形图。2.2 设计思路根据设计要求,电路具有计时、计程、计费功能,用数码管显示行驶公里数、等待时间、收费金额,行车里程和等待时间的处理电路将汽车行驶的里程数、转换成与之成正比的计费脉冲,然后由计费电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,3公里以后每0.1公里产生一个计费脉冲,一个1HZ的脉冲用来表示等待时间,6

8、0个脉冲代表1分钟,1分钟即60个脉冲产生一个等待计费脉冲,然后用计费电路对计费脉冲进行计费。2.3 系统总体结构图2-1 系统总体结构图出租车计费器的组成如上图所示,通过控制模块以高低脉冲模拟出租汽车启动、行驶、暂停,具有控制作用;通过控制模块控制出租车的状态来选择出租车是计里程还是计等待时间,相应产生的时间计费脉冲信号和里程脉冲信号来计费,译码/动态扫描模块将等待时间、路程与费用的数值译码后用动态扫描的方式驱动数码管;数码管显示模块将里程数、等待时间和计费金额用数码管显示。2.4 出租车计费器系统工作流程图图2-2 出租车计费系统工作流程图出租车载客后,启动计费器,整个系统开始工作,进入初

9、始化状态,即计程从0开始,计费从5.0元开始。再根据BREAK信号判断行驶还是暂停。若是行驶状态,计费器开始进行里程计数,当里程超过3公里时,计费器开始累加,按每0.1公里收费0.1元计算,计程器则继续,否则计费器不变。若是暂停状态,计费器开始进行等候时间计数,当等候时间累计1分钟,则每分钟0.1元计费。最后将等候时间、里程、计费都显示出来。3 出租车计费器的实现3.1 出租车计费器的顶层原理图图3-1 出租车计费器顶层原理图原理图中输入部分分别是CLK:秒脉冲信号,1个脉冲代表1秒,则60个脉冲表示1分钟。CLK1:10米脉冲信号,1个脉冲代表10米,则10个脉冲表示100米,100个脉冲代

10、表1公里。START:计程车开启信号;DRIVE:计费器启动信号;BREAK:等待信号,SEL为计数控制信号输出端。3.2 系统各功能模块的实现3.2.1 计费模块JIFEI计费(JIFEI)模块也是本系统的核心,完成起步价计费,等待时间计费,里程计费功能。计费器启动,显示起步价5.0元,之后每来一个行驶里程计费脉冲或者等待时间计费脉冲,计费金额自动加0.1元。计费显示用3位数码管,所以计费最大值为99.9元。其中CH2表示费用的十位,CHI表示费用的个位,CH0表示费用的十分位。B为等待时间计费脉冲信号或里程计费脉冲信号。图3-2 JIFEI模块图3.2.2 计量模块JILIANG图3-3

11、JILIANG模块图本模块是系统的核心模块之一,能够对外部的行驶距离脉冲、等待时间脉冲进行计数。因为行驶距离每脉冲代表10米,所以计满100个数就输出一个行驶距离计费脉冲,因为3公里之内属于起步价,所以前3公里内不输出行驶距离计费脉冲,因为用3位数码管来显示行驶距离,其中两位整数,一位小数,所以行驶距离最小分辨率为0.1公里,行驶距离脉冲每计10个数,行驶距离输出加0.1,行驶距离最大值为99.9公里。其中KM2为里程的十位,KM1为里程的个位,KM0为里程的十分位。等待时间脉冲频率为1HZ,所以一个脉冲代表1秒,60个等待脉冲是1分钟,输出等待时间加1,等待时间满1分钟计一次费,所以对等待时

12、间脉冲计数,计满60个数就输出一个等待计费脉冲。等待时间最大值为99分钟。MIN1为等待时间的十位,MIN0为等待时间的个位。将行驶距离、等待时间送到SELTIME模块,时间与里程计费脉冲送到计费模块完成里程、等待时间的计费功能。B1为超过3公里后,每0.1公里产生的计费脉冲。B2为每等待一分钟产生的计费脉冲。3.2.3 显示控制模块SELTIME图3-4 SELTIME模块图SELTIME模块起显示控制作用,控制等待时间、行驶里程、费用在数码管上的显示位置。sel为计数控制信号输出端,功能主要是利用其计数的八个状态,使daout在其每个状态下选择某一输入数据,进而将选择的的数据送入到DELE

13、D模块。dp为小数点脉冲控制信号,当该模块输出的值为里程的个位、费用的个位时,需要考虑小数点的存在,即此时dp=1,在其他不需要小数点的情况下,dp=0,最后dp将信号输出到DELED模块。3.2.4 显示模块DELED图3-5 DELED模块图DELED模块把送入的每个四位数据转换为7段码送出显示,能够使数码管正常显示送入的数据。其中DP为小数点输入信号。4 出租车计费器系统仿真及分析4.1 计费系统的仿真图4-1 出租车启动时计费系统功能仿真图由图4-1 可知,当出租车未启动时,里程、等待时间、费用均为0。出租车刚启动时,里程、等待时间为0,费用为出租车起步价5元。图4-2 出租车等待时计

14、费系统功能仿真图由图4-2可知,当出租车在等待过程中,行驶里程16.2公里,等待时间为32分钟时,出租车的费用为:5+(16.2-3)1+320.1=21.4元,与仿真结果一致。图4-3 出租车行驶时计费系统功能仿真图由图4-3可知,当出租车行驶到66.6千米,等待时间60分钟时,由仿真结果可得出费用为74.6元;根据设计要求计算可得费用为:5+(66.6-3)1+600.1=74.6元。仿真结果与计算值一致,验证了设计的正确性。图4-4 出租车停车时计费系统功能仿真图当出租车停车时,出租车上的时间、里程和费用清零,为下次计费的开始做准备。4.2 单元模块的仿真及分析4.2.1 译码显示模块的

15、仿真及分析图4-5 译码显示模块的功能仿真图图4-5为译码显示模块的功能仿真波形图,DP、S为信号输入端,也是显示控制模块的信号输出端口,ABCDEFGH八个端口为八位二进制的输出端口。假设DOUT=“HGFEDCBA”,由上图可以看出:1. DP=0时:当S(3 downto 0)=”0000”,则DOUT=”00111111”;当S(3 downto 0)=”0001”,则DOUT=”00000110”;当S(3 downto 0)=”0010”,则DOUT=”01011011”;当S(3 downto 0)=”0011”,则DOUT=”01001111”;当S(3 downto 0)=”0100”,则DOUT=”01100110”;当S(3 downto 0)=”0101”,则DOUT=”01101101”;当S(3 downto 0)=”0110”,则DOUT=”01111101”;当S(3 downto 0)=”0111”,则

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号