实验2双端口存储器原理实验.doc

上传人:pu****.1 文档编号:563789838 上传时间:2024-01-29 格式:DOC 页数:3 大小:386.51KB
返回 下载 相关 举报
实验2双端口存储器原理实验.doc_第1页
第1页 / 共3页
实验2双端口存储器原理实验.doc_第2页
第2页 / 共3页
实验2双端口存储器原理实验.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验2双端口存储器原理实验.doc》由会员分享,可在线阅读,更多相关《实验2双端口存储器原理实验.doc(3页珍藏版)》请在金锄头文库上搜索。

1、三、接线1. 固定接线RS_BUS#接+5V,禁止寄存器堆RF向DBUS送数。IAR_BUS#接+5V,禁止中断地址寄存器IAR向DBUS送数。ALU_BUS接GND,禁止运算器ALU向DBUS输出数据。AR1_INC接GND,禁止地址寄存器进行AR1+1AR1操作。M3接+5V,使地址寄存器AR2从DBUS取得地址数据。2. 其他控制信号线SW_BUS#接K0;CEL接K1;LRW接K2;CER、LDIR接K3;LDAR1接K4;LDAR2接K5。四、设置功能开关1. 先置开关DB=0,DZ=0,DP=1,使系统处于单拍状态(每按一次QD按钮,顺序产生T1、T2、T3、T4各一个脉冲)2.

2、先将IR/DBUS开关拨到DBUS位置;3. 先将AR1/AR2开关拨到AR1位置;五实验操作演示1. 使用左端口,向某一存储单元写入数据。(以向地址06H单元写入数据80H为例)1) 将地址06H写入AR1a) 置SW_BUS#(K0)=0;CEL#(K1)=1。b) 按下实验台上电源开关,接通电源。c) 按下复位按钮CLR#(使实验系统处于初始状态)。d) 置开关SW7SW0为00000110B,此数据加至DBUS(数据指示灯显示00000110B)。e) 置LDAR1=1(k4);LDAR2(K5)=0。按QD按钮(产生T4),则将DBUS的数据打入AR1,加至左端口的地址线上。此时左端

3、口地址指示灯显示00000110B。2) 将数据80H写入a) 先后置LRW(K2)=0(写操作);CEL#(K1)=0;(片选有效)LDAR1(K4)=0;SW_BUS#(K0)=0;b) 置开关SW7SW0为10000000B(80H),按QD按钮(产生T3),则将DBUS的数据(10000000B)写入06H单元。2. 使用左端口,从某一存储单元读数据。(以读地址06H单元为例)1) 将地址06H写入AR1(步骤同上)2) 读数据a) 先后置sw_bus#(K0)=1;(使sw7sw0与dbus断开)Lrw(K2)=1(读操作);Cel#(K1)=0;(片选有效);Ldar1(K4)=0

4、;b) 按qd按钮(产生T3),读出的数据送至dbus,dbus的数据指示灯显示10000000B。3. 使用右端口,从某一存储单元读数据写入IR(以读地址06H单元为例)1) 将地址06H写入AR2a) 先后置CEL#(K1)=1;SW_BUS#(K0)=0;LDAR2(K5)=1。b) 置开关SW7SW0为00000110B,按QD按钮(产生T2),将DBUS的数据打入AR2。此时右端口的地址指示灯显示00000110B(AR1/AR2开关拨到AR2位置)。2) 从右端读数据置CER(K3)=1;(右端口读信号)及LDIR(K3)=1。(写ir)按QD按钮(产生T4)。从右端口读出数据并写

5、入指令寄存器IR,IR数据指示灯上显示10000000B。(IR/DBUS开关拨到IR位置)4. 双端口RAMde 并行读写冲突测试置CEL#=0且CER=1,使双端口RAM左、右端口同时被选中。当AR1和AR2的地址不相同时,没有访问冲突;地址相同时,若都是读出操作,也不冲突。 如果左右端口地址相同,且一个进行读操作、另一个进行写操作,则发生冲突。要检测冲突,可用示波器或逻辑笔测试BUSYL和BUSYR插孔(分别是两个端口的“忙”信号输出)。发生冲突时,BUSY为0。六、实验注意事项1. 数据总线dbus在任一时刻,只能有一个数据源向它输出。本实验中手动控制信号sw_bus#、cel#严禁同时为0,否则将损坏集成电路芯片!2. 使用左端口,向某一存储单元写入数据。当将地址写入ar1后,应置ldar1(K4)=0,避免写数据时,数据同时进入ar1,改变ar1的内容。七、实验心得体会1. 要掌握实验原理,不能只是照着资料上的步骤连接。2. 要正确理解实验步骤,在实验箱上正确操作。3. 应该大胆的实验,相信自己。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号