基于FPGA的多功能波形发生器设计课程设计.doc

上传人:大米 文档编号:563565253 上传时间:2022-12-19 格式:DOC 页数:33 大小:969.02KB
返回 下载 相关 举报
基于FPGA的多功能波形发生器设计课程设计.doc_第1页
第1页 / 共33页
基于FPGA的多功能波形发生器设计课程设计.doc_第2页
第2页 / 共33页
基于FPGA的多功能波形发生器设计课程设计.doc_第3页
第3页 / 共33页
基于FPGA的多功能波形发生器设计课程设计.doc_第4页
第4页 / 共33页
基于FPGA的多功能波形发生器设计课程设计.doc_第5页
第5页 / 共33页
点击查看更多>>
资源描述

《基于FPGA的多功能波形发生器设计课程设计.doc》由会员分享,可在线阅读,更多相关《基于FPGA的多功能波形发生器设计课程设计.doc(33页珍藏版)》请在金锄头文库上搜索。

1、课 程 设 计题 目多功能波形发生器的设计学 院信息工程学院专 业班 级姓 名指导教师年月日摘要3Abstract4多功能波形发生器的设计41.课题简介61.1 课设目的61.2课设要求62设计方案82.1方案选择82.2 设计原理82.3设计流程93仿真结果153.1编译警告153.2编译结果163.3建立仿真文件163.4仿真结果183.5 RTL视图194程序分析214.1VHDL语言分析214.2主要函数语句分析215小结236参考文献247附录源程序代码25摘要 多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪

2、七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用VHDL语言描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分VHDL代码以及利用Quartus仿真的结果。 文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器

3、的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN公司生产的DAC902。该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。关键词:VHDL D/A接口 Abstract Multi function signal generator has become the most widely used in modern testing field of general instrument, and has represented one of the development direction

4、 of the source. Direct digital frequency synthesis (DDS) is a totaly digital frequency synthesis technology, which been put forward in the early 1970s. Using a look-up table method to synthetic waveform, it can satisfy any requirement of waveform produce. Due to the field programmable gates array (F

5、PGA) with high integrity, high speed, and large storage properties, it can realize the DDS technology effectively, increase signal generators performance and reduce production costs. Firstly, this article introduced the function signal generator of the research background and DDS theory. Then, it de

6、scribed how to design a DDS module by VHDL, and introduced various signal occurs theory, method and the implementation process, VHDL code and simulation results. This paper also introduces the function of DE2 multimedia development platform, and completed most of the functions of multi-function sign

7、al generator on DE2 platform finally. Including the occurrence of multiple signal and the man-machine interface which composed by LCD display and key input. Digital-to-analog converters is DAC902, which produced by company BURR-BROWN. This signal generator can output eight different kinds of signals

8、, and the frequency of the output signal, phase and modulation frequency signal also can be modifyed. Keywords: VHDL D/A Interface 多功能波形发生器的设计信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。它能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的

9、振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。 传统的信号发生器主要有两类:正弦波和脉冲波信号发生器,而函数发生器介于两类之间。它能够提供正弦波、锯齿波、方波、三角波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,但是模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点。而且要产生的信号波形越复杂,则电路结构也会越复杂。同时还有两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节

10、。 现代科学技术的飞速发展对信号源提出了越来越高的要求。这些要求主要表现在高分辨率、高输出频率、任意波形等方面。此时传统的信号发生器已经无法满足要求。 直接数字频率合成(Direct Digital Synthesizer简称DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。这种技术由美国学者JTiercy,MRader和BGold于1971年首次提出,但限于当时的技术和工艺水平,DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。但是随着电子技术的飞速发展,微处理器性能大幅提高,高速的D/A以及随机存储器大量涌现,DDS技术已经变得很容易实现。它已广泛应

11、用于通讯、雷达、遥控测试、电子对抗以及现代化的仪器仪表工业等许多领域。将其与简单电路相结合就可以精确模拟仿真各种信号。 不论是在生产、实验还是在科研与教学上,多功能信号发生器都是用于仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,多功能信号生器己成为测试仪器中至关重要的一类,因此开发多功能信号发生器具有重大意义。1.课题简介1.1 课设目的(1)懂得多功能波形发生器的结构组成(2)懂得利用FPGA芯片实现多种波形的产生方法(3)懂得一种复杂FPGA电路的设计 1.2课设要求 设计一个多功能波形发生器。该波形发生器能产生正弦波、方波、三角波和由用户编辑

12、的特定形状波形。具体要求如下: (1) 具有产生正弦波、方波、三角波、锯齿波4种周期性波形的功能。 (2) 用键盘输入编辑生成上述4种波形(同周期)的线性组合波形。 (3)具有波形存储功能。 (4)输出波形的频率范围为100Hz200kHz;重复频率可调,频率步进间隔100Hz。 (5)输出波形幅度范围05V(峰-峰值),可按步进0.1V(峰-峰值)调整。 (6)具有显示输出波形的类型、重复频率(周期)和幅度的功能。 (7)用键盘或其他输入装置产生任意波形。多功能波形发生器系统由以下四部分组成:输入部分、FPGA部分、DAC、显示部分组成。 图1 多功能波形发生器系统框图1.3课设工具本次设计

13、是基于Altera公司的QuartusII软件。Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模块库,使用它,可以简化设计的复杂性,加快设计速度。QuartusII平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。此外,QuartusII

14、通过和DSP Builder工具与Matlab/SIMULINK相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统开发,集系统级设计、嵌入式软件设计、可编程逻辑设计于一体,是一种综性的开发平台。2设计方案2.1方案选择1、模拟锁相环实现模拟锁相环技术是一项比较成熟的技术。应用模拟锁相环,可将基准频率倍频,或分频得到所需的频率,且调节精度可以做到相当高、稳定性也比较好。但模拟锁相环模拟电路复杂,不易调节,成本较高,且由于受模拟器件的影响,波形变换调节时间较长,输出波形的毛刺较多,因此模拟锁相环实现在低频(0500KHz)信号发生系统中不是很好的方案。2、直接数字频率合成实

15、现直接数字频率合成(DDFS)技术是经典的数字频率合成技术。由于数字量的可操作性远远高于模拟量,采用DDFS的优点在于频率精度高、波形调节方便、且输出波形毛刺少等。基于单片机的数字波形发生系统受单片机指令频率的限制,输出波形频率较低,而基于FPGA的波形发生系统就不存在这样的问题,其输出频带较单片机实现有很大的展宽。本系统设计选定以FPGA作为系统控制核心的直接数字频率合成实现方案。2.2 设计原理采用DDS技术可以很方便地产生各种高质量的波形。DDS技术是从相位概念出发之结合成所需要波形的一种频率合成技术。以正弦波为例,首先要按照一定的采样点数将正弦波形一个周期的数据信息存于ROM表中,表中包含着一个周期正弦波的数字幅度信息,每个地址对应正弦波中0到360度范围内的一个相位点的幅度值,查找表时即是把输入的地址相位信息映射成正弦波幅度的数字量信号,通过设置的输出端口输出。在实物设计中,可以使用D/A接口来实现波形信号的输出。为简化设计过程,本设计并未采用DDS技术,而是采用描

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 星座/运势/宗教/风水

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号