南昌大学eda实验报告.docx

上传人:M****1 文档编号:563430530 上传时间:2023-05-31 格式:DOCX 页数:36 大小:2.20MB
返回 下载 相关 举报
南昌大学eda实验报告.docx_第1页
第1页 / 共36页
南昌大学eda实验报告.docx_第2页
第2页 / 共36页
南昌大学eda实验报告.docx_第3页
第3页 / 共36页
南昌大学eda实验报告.docx_第4页
第4页 / 共36页
南昌大学eda实验报告.docx_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《南昌大学eda实验报告.docx》由会员分享,可在线阅读,更多相关《南昌大学eda实验报告.docx(36页珍藏版)》请在金锄头文库上搜索。

1、实验报告课程名称:课程名称:EDA技术实用教程指导老师: 学生姓名: 学 号:专业班级:通信工程134班2015年12月7日二、模可变计数器设计(一)实验目的1、进一步熟悉实验装置和QuartusH软件的使用;2、进一步熟悉和掌握EDA设计流程;3、学习简单组合、时序电路的EDA设计;4、学习计数器中二进制码到BCD码的转换技巧;5、学习实验装置上数码管的输出方法。(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现模可 变计数器功能,具体要求如下:1、设置一个按键控制改变模值,按键按下时模为10.99之间(具体数值自行 确定)的数,没按下时模为100-199之间(

2、具体数值自行确定)的数;2、计数结果用三位数码管十进制显示。(三)主要仪器设备1、微机2、QuartusII集成开发软件3、EDA实验装置(四)实验思路总体程序如下module jishuqi (CLK,rst,M,SG,en,q,d);input CLK,rst,en;input M;output SG;reg7:0 ent;reg clkl;reg7:0 SG;reg sei;output regll:0 q;regll:0 model;reg3:0 a;output reg d;wire3:0 gw,sw,bw;assign gw3:0=q3:0;assign sw3:0=q7:4;as

3、sign bw3:0=qll:8;always (M)if (M) model= 12b000000110000;else models 12*6000100000000;always (posedge CLK) begincnt=cnt+1;if (cnt=200)beginclkl = lbl;cnt=O;endelse clkl=rbO;if (sel2) sel=sel+l;else sel=O;endalways (posedge CLK or negedge rst)beginif(!rst)q=0;elseif(en) beginif(qmodel)beginif (gw=9)

4、begin q=q+7;if (sw-9) q=q+96; endelse q=q+l; endelse q=0;endendalways (q)beginif(qmodel) d=0;else d=l;endalways (sel)begincase (sei)0: a=bw;1: a=sw;a=gw;default: a=0;endcasecase (a)0:SG=8b00111111; 1 :SG=8,b00000110;2:SG=8b010U011; 3:SG=8b01001 111;4:SG=8b01100110; 5:SG=8b01101101;6:SG=8,b01111101;

5、7:SG=8,bOOOOO111;8:SG=8,b01111111; 9:SG=8,b01101111;default: SG=8,bllllllll;endcaseendendmodule分频模块分频模块由时钟频率的分频作为计数脉冲,每200个系统脉冲有一个技术脉冲, 同时可以利用系统脉冲进行循环选择SEL来进行循环扫码。程序如下always (posedge CLK)begincnt=cnt+1;if (cnt=200)beginclkl = rbl;cnt=0;endelse clkl=rb0;if (sel2) sel=sel+l;else sel=0;选择模块由M选择,M为1时模是3

6、0, M为0时模为100oalways (M)if(M) models 12000000110000;else model= 12fb000100000000;计数模块计数模块如下,由于q为16进制数,我们需要的输出为BCD码,故进行调整。 平常时q来一个脉冲加1,当加到个位为9时; 也就是1001,将其加7变为16 也就是实现了个位由9到。的BCD计数,同时也会向十位进1。当十位和个 位同时,为9时,q位加96也就是十位加6,再加上个位向十位进的1就是加7, 十位会清零同时个位也会清零,同时向百位进1,实现了正常的十进制BCD码 计数。Rst那么为清零信号,en为使能信号。always (p

7、osedge CLK or negedge rst)beginif(!rst)q=0;elseif(en) beginif(qmodel)beginif (gw-9) begin q=q+7;if (sw=9) q=q+96; endelse q=q+l; endelse q=0;endEnd当计数到顶后有个标志位d标志是否计到顶。always (q)beginif(qmodel) d=0;else d=l;end循环扫码模块如下,由于sei的变化频率和系统时钟相同,所以肉眼区分不出来 在跳动,可以通过其分别选择数码管并将个位十位百位呈现在数码管上,同时配有译码程序。always (sel)b

8、egincase (sei)0: a=bw;1: a=sw;a=gw;default: a=0;endcase case (a)0:SGv=8b00111111; kSGbOOOOO 110; 2:SGv=8b010110U;3:SG=8b01001111; 4:SG=8,b01100110;5:SG=8,b01101101; 6:SG=8,b01111101; 7:SG qH000oytdB0Name024flRst可以实现计数的清零。;回女总三双鹿黑迤邂W两成暧,限NameValue at0 psinCLKB0inMB 1当r SGB00111111in enB 1in rstB 1缪 q

9、H000out-OdB0NameValue at0 psinCLKB0inMB 1当r SGB00111111in enB 1in rstB 1缪 qH000out-OdB0Master Time Bar:0 ps640.0 ns 11.28 us 11.92 us12.56 us 13.2 us 13.84 us14.48 us 15.12 us 15.76 us 16.4 us 17.041ps22.08 nsInterval:Pointer: 22.08 ns当M为1时实现了模30的计数,同时标志位为1。0|羔&1巴双鹿88心施X?电吧;童晓Ops Pointer: 14.15 usIn

10、terval:Start:NameValue at Ops%CLKB0JS-MB 1 SGB00111111%enB 11s-rstB 1* qH000dB0Master Time Bar:3 us14.72 us15.36 us16.0 us16.64 us 17.28 us 17.92 us 18.56 us19.2 us19.84 us20.48 us21.12 us21.76 us22.4 us23.04 us 23.68 us 24.3:IIIIiIi,IIIIIrrynuntinwuinixnixrnuutnuntirrLuwwwwTouiTurrujf碟 101101 淞iiii

11、iXioiioi 的酌 muXioiioiXoiiiiiX-ooiimi的-X。21023025027 *。28 X 029 ) 030 米 00。乂 001 X。2 X 003 * 004 乂 005 006 X 初。09 太inCLKBOirMB 1号t SGB00111111enB 1rstB 1 qH000dB0Name当M为。时实现了模100的计数,同时标志位为1File Edit Aew Simulation Help 甲日幺暴9又二近庖嘱心疆泛施噱喊;其吃Interval: 63.43 us36 us 64.0 us 64.64 us 65.28 us 65.92 us 66.5

12、6 us 67.2 us 67.84 us 68.48 us 69.12 us 69.76 us 70.4us71.04 us 71.68 us 72.32 us 72.96 us 73.(I Imn_jTTjnunu_nu_rnumTLJrLJ7TLnj_mFUJTLJTUTLinTjn_jnujnu使能信号en为0时计数保持不变日e Edit 近ew Simulation Help 引Search altera:1乱与& A三洒渔黑心慰泛沏噫吟,回降初步进行了自己设计程序和仿真一系列工作,加深了对设计过程和语句使用的理 解。进而对软硬件的操作使用都有了进一步的熟练,遇到的基础问题也能想方法 去解决了,不像第一次那样手足无措了。语法语句也大概有了 了解。三、序列信号发生和检测器设计(一)实验目的进一步熟悉EDA实验装置和Quartus II软件的使用方法;1、 学习有限状态机法进行数字系统设计;学习使用原理图输入法进行设计。(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个 串行序列信号发生器和一个序列信号检测器的功能,具体要求如下:1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号