UART 通用异步收发器的设计.doc

上传人:s9****2 文档编号:563252681 上传时间:2023-12-17 格式:DOC 页数:19 大小:495KB
返回 下载 相关 举报
UART 通用异步收发器的设计.doc_第1页
第1页 / 共19页
UART 通用异步收发器的设计.doc_第2页
第2页 / 共19页
UART 通用异步收发器的设计.doc_第3页
第3页 / 共19页
UART 通用异步收发器的设计.doc_第4页
第4页 / 共19页
UART 通用异步收发器的设计.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《UART 通用异步收发器的设计.doc》由会员分享,可在线阅读,更多相关《UART 通用异步收发器的设计.doc(19页珍藏版)》请在金锄头文库上搜索。

1、综合课程设计实验报告 院 系: 信息科学与工程学院 学号: 04006531 04006525 姓 名: 蓝渊明 陈新明 教 师: 张圣清 时 间: 2010.01.15 1 引言 由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VH

2、DL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合标准化的发展方向而最终成为IEEE标准。下面的设计就是用VHDL来完成实现的。2 UART设计实例 通常设计数字电路大都采用自顶向下将系统按功能逐层分割的层次化设计方法,这比传统自下向上的EDA设计方法有更明显的优势(当时的主要设计文件是电路图)。因为由自顶向下的设计过程可以看出,从总体行为设计开始到最终逻辑综合,形成网络表为止。每一步都要进行仿真检查,这样有利于尽早发现系统设计中存在的问题,从而可以大大缩短系统硬件的设计周期。 UART(即Universal Asynchronous Receiver Tran

3、smitter 通用异步收发器)是一种应用广泛的短距离串行传输接口。UART允许在串行链路上进行全双工的通信。串行外设用到的RS232-C异步串行接口,一般采用专用的集成电路即UART实现。如8250、8251、NS16450等芯片都是常见的UART器件,这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计上用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用VHDL将UART的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。本文应用EDA技术,基于FPGA/CPLD器件设计与实现UA

4、RT。2.1.1 UART结构 UART主要有由数据总线接口、控制逻辑、波特率发生器、发送部分和接收部分等组成。本设计主要设计UART中最重要的发送部分和接收部分 图12.1.2 UART的帧格式UART的帧格式如图2所示。图2发送数据过程:空闲状态,线路处于高电位;当收到发送数据指令后,拉低线路一个数据位的时间T,接着数据按低位到高位依次发送,数据发送完毕后,接着发送奇偶校验位和停止位(停止位为高电位),一帧资料发送结束。接收数据过程:空闲状态,线路处于高电位;当检测到线路的下降沿(线路电位由高电位变为低电位)时说明线路有数据传输,按照约定的波特率从低位到高位接收数据,数据接收完毕后,接着接

5、收并比较奇偶校验位是否正确,如果正确则通知后续设备准备接收数据或存入缓存。由于UART是异步传输,没有传输同步时钟。为了能保证数据传输的正确性,UART采用16倍数据波特率的时钟进行采样。每个数据有16个时钟采样,取中间的采样值,以保证采样不会滑码或误码。一般UART一帧的数据位数为8,这样即使每个数据有一个时钟的误差,接收端也能正确地采样到数据。UART的接收数据时序为:当检测到数据的下降沿时,表明线路上有数据进行传输,这时计数器CNT开始计数,当计数器为24=16+8时,采样的值为第0位数据;当计数器的值为40时,采样的值为第1位数据,依此类推,进行后面6个数据的采样。如果需要进行奇偶校验

6、,则当计数器的值为152时,采样的值即为奇偶位;当计数器的值为168时,采样的值为“1”表示停止位,一帧数据接收完成。2.2 UART的设计与实现2.2.1 UART分频器假设数据的波特率为p,则所需时钟的频率为16*p 以波特率p为9600为例,系统时钟为60MHz 代码如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; entity baud is Port (clk,resetb:in std_logic; bclk:out st

7、d_logic);end baud; architecture behavioral of baud isbeginprocess(clk,resetb)variable cnt:integer;begin if resetb=1 then - resetb=1时复位 cnt:=0; bclk=38 then cnt:=0; bclk=1; -设置分频系数 else cnt:=cnt+1; bclk=0; end if; -if cnt=72 then bclk=1;cnt:=cnt+1; -设置分频系数 -elsif cnt103 then cnt:=cnt+1; bclk=0;-else

8、cnt:=0;bclk=0; end if;-end if ; -end if;end process;end behavioral;2.2.2 UART发送器UART发送模块的功能:接收到发送指令后,把数据按UART协议输出,先输出一个低电平的起始位,然后从低到高输出8个数据位,接着是可选的奇偶校验位,最后是高电平的停止位library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; entity transfer is generic(framlen

9、t:integer:=8); Port (bclkt,resett,xmit_cmd_p:in std_logic; -定义输入输出信号 txdbuf:in std_logic_vector(7 downto 0):=11001010; txd:out std_logic; txd_done:out std_logic);end transfer; architecture behavioral of transfer istype states is (x_idle,x_start,x_wait,x_shift,x_stop); -定义个子状态signal state:states:=x_i

10、dle;signal tcnt:integer:=0;begin process(bclkt,resett,xmit_cmd_p,txdbuf) -主控时序、组合进程variable xcnt16:std_logic_vector(4 downto 0):=00000; -定义中间变量variable xbitcnt:integer:=0;variable txds:std_logic;-#variable txdbuf_add :std_logic_vector(7 downto 0);variable temp:std_logic;-# begin if resett=1 then -复位

11、state=x_idle; txd_doneif temp=1 then state=x_start; temp:=0;txd_done=0; txdbuf_add:=txdbuf+00000001; else stateif xcnt16=01110 then state=x_wait; xcnt16:=01110; else xcnt16:=xcnt16+1; txds:=0; stateif xcnt16=01110 then if xbitcnt=framlent then state=x_stop; xbitcnt:=0; else state=x_shift; end if; xcnt16:=00000; else xcnt16:=xcnt16+1; statetxds:=txdbuf_add(xbitcnt); xbitcnt:=xbitcnt+1; state=x_wait;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号