ADC采样控制电路设计

上传人:枫** 文档编号:563041391 上传时间:2023-04-12 格式:DOCX 页数:5 大小:120.19KB
返回 下载 相关 举报
ADC采样控制电路设计_第1页
第1页 / 共5页
ADC采样控制电路设计_第2页
第2页 / 共5页
ADC采样控制电路设计_第3页
第3页 / 共5页
ADC采样控制电路设计_第4页
第4页 / 共5页
ADC采样控制电路设计_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《ADC采样控制电路设计》由会员分享,可在线阅读,更多相关《ADC采样控制电路设计(5页珍藏版)》请在金锄头文库上搜索。

1、学生实验报告系别电子信息学院课程名称EDA综合实验班级14无线技术实验名称ADC采样控制电路设计姓名实验时间2016年11月14日学号指导教师王红航成绩批改时间2016年 月 日报告内容一、实验目的和任务1.学习用状态机对A/D转换器ADC0809的采样控制电路的实现。二、实验原理介绍眠3匸1! 1In IN2附4二2= IN1皿匸3n INOINS-4n ADDAINFU5= ADESSTART-6 2 AODCeoc7 K 22 ALEDMe 21n D7OE匚920二 D6CLOCK=10= D5VCC11R D4RE*1217 DO1316P REF-D1匚;1415n D2ADC08

2、09是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中 的一个进入转换器中。ADC0809的分辨率为8位,转换时间约100us,含锁存控制的8路 多路开关,输出有三态缓冲器控制,单 5V 电源供电ALESTARTEOCOEj1IL -图8-5工作时序stO射4st2LOCK:0-1宙LOCK信号锂存.如撫好色啓鑒峯持恳抵中等待吏逹换结OEI1覽据榦岀冇效疋03阿関抬化st1 ;_/.応询A/D捋檢EOC=,D,疋才.转换图S-6控制-ADCO8O5*采样状态图主要控制信号说明:如图8-5所示,START是转换启动信号,高电平有效;ALE 是3位通道选择地址(ADDC、ADDB

3、、ADDA)信号的锁存信号。当模拟量送至某一输 入端(如IN1或IN2等),由3位地址信号选择,而地址信号由ALE锁存;EOC是转换情 况状态信号(类似于AD574的STATUS),当启动转换约100us 后, EOC产生一个负脉冲, 以示转换结束;在EOC的上升沿后,若使输出使能信号0E为高电平,则控制打开三态缓 冲器,把转换好的8位数据结果输至数据总线。至此ADC0809的一次转换结束了。三、设计代码(或原理图)、仿真波形及分析module ADC0809(D,CLK,EOC,RST,ALE,START,OE,ADDA,Q,LOCK_T); input7:0 D;来自0809转换好的8位数

4、据input CLK,RST;时钟和复位信号input EOC;output ALE;output START,OE;output ADDA,LOCK_T;output 7:0 Q;reg ALE,START,OE;reg7:0REGL;数据锁存输出parameter s0=0,s1=1,s2=2,s3=3,s4=4;定义各状态子类型reg4:0 cs,next_state;reg LOCK;always (posedge CLK or posedge RST)时序过程beginif(RST) cs=s0;else cs=next_state;endalways (posedge LOCK)寄

5、存器过程if(LOCK) REGLv=D;assign ADDA=0;assign ADDB=0;assign Q=REGL;assign LOCK_T=LOCK;always (cs or EOC) begin组合过程case(cs)sO:next_statev=sl;sl:next_statev=s2;s2:if(EOC=1b1) next_state=s3;else next_state=s2;s3:next_statev=s4;s4:next_statev=s0;default : next_state=sO;endcaseendalways(cs) begincase(cs)s0:b

6、egin ALE=0;START=0;OE=0;LOCK=0;end初始化s1:begin ALE=1;START=1;OE=0;LOCK=0;ends2:begin ALE=0;START=0;OE=0;LOCK=0;ends3:begin ALE=0;START=0;OE=1;LOCK=0;ends4:begin ALE=0;START=0;OE=1;LOCK=1;enddefault :begin ALE=0;START=0;OE=0;LOCK=0;endendcaseendendmoduleParam-et&rValuesO0311s22s3工s44:从硬件仿真中,可以得到几组数据1 Dr.ojALECLKSTAFLTEDCDER3TADDAwnTLOCK TIIIinst输出数字量模拟电压量OF0.32IF0.652F0.943F1.20当输出数字量不同时,它的电压量也就不同。其实就是数模转换过程。四、实验结论与心得通过本次实验,进一步掌握了状态机的verilog设计方法和设计仿真工具的使用,学习层次化设 计方法。熟悉了状态机的设计思路和方法,通过对仿真波形的分析,对ADC0809控制A/D转换有了更 深的理解。实验中通过A/D转换将模拟信号转换为数字信号。通过引脚的锁定,我们最终能够在实验 箱上看到仿真的结果。一分耕耘一分收获,只有自己动手做了才能够明白其中的意义。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号