实用电工电子基本能力训练与实践活动讲座参考资料模

上传人:汽*** 文档编号:562287002 上传时间:2024-01-18 格式:DOCX 页数:26 大小:204.66KB
返回 下载 相关 举报
实用电工电子基本能力训练与实践活动讲座参考资料模_第1页
第1页 / 共26页
实用电工电子基本能力训练与实践活动讲座参考资料模_第2页
第2页 / 共26页
实用电工电子基本能力训练与实践活动讲座参考资料模_第3页
第3页 / 共26页
实用电工电子基本能力训练与实践活动讲座参考资料模_第4页
第4页 / 共26页
实用电工电子基本能力训练与实践活动讲座参考资料模_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《实用电工电子基本能力训练与实践活动讲座参考资料模》由会员分享,可在线阅读,更多相关《实用电工电子基本能力训练与实践活动讲座参考资料模(26页珍藏版)》请在金锄头文库上搜索。

1、模拟电路仿真实验信息时代的来临,知识经济时代的来临,以计算机技术的发展为主导,使信息的存贮、传播利用的科学技术产生了革命性的变革,这就带来了两点要求:一是培养的人才必须适应信息时代的发展,必须教会学生掌握信息技术,例如计算机辅助设计、虚拟现实等先进技术手段;二是教学过程涉及信息的存贮、传播和利用,所以信息技术的发展就为教学提供了更加先进的手段,例如计算机辅助教学、虚拟实验、电子教材等,利用这些手段可以使得教学工作的效率和效益进一步提高。无论是第一个要求还是第二个要求,都需要根据信息技术的发展更新教学设备,改革教学方式方法,改善教学手段和教学设备严重落后的状况。第一节 电子工作平台概述 从事电子

2、产品设计、开发等工作的人员,经常要求对所设计的电路进行实物模拟和调试。其目的,一方面是为了验证所设计的电路是否能达到设计要求的技术指标,另一方面,通过改变电路中元器件的参数,使整个电路性能达到最佳值。以往的电路设计模拟,常常是制作一块模拟试验板,在这块板上用实际元器件进行试验和调试。取得数据后,再来修正原设计的电路参数,直至达到设计提出的要求。但由于受工作场地、仪器设备和元器件品种。数量的限制,有些试验往往无法及时完成。这样既影响工作的顺利进行,又束缚了设计人员的手脚。为了克服上述困难,加拿大 Interactive Image Technologies公司于 20世纪 80年代末、90年代初

3、推出了专门用于电子线路仿真的“虚拟电子工作台”(Electronics Workbench)软件,它可以将上述不同类型的电路组合成混合电路进行仿真。 与其他的电路仿真软件相比较,Electronics Workbench具有界面直观操作方便等优点,它改变了有些电路仿真软件输人电路采用文本方式的不便之处,创建电路、选用元器件和测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似。实验证明,具有一般电子技术基础知识的人员,只要几个小时就可学会Electronics Workbench的基本操作,从而大大提高了电子设计工作的效率。 Electronics Workbench还是

4、一种非常优秀的电子技术实训工具,因为掌握电子技术,不仅需要理论知识,而且更重要的是通过实际操作来加深对内容的理解。作为电子类相关课程的辅助教学和实训手段,它不仅可以弥补实验仪器、元器件缺乏带来的不足,而且排除了原材料消耗和仪器损坏等因素,可以帮助学生更快、更好地掌握课堂讲述的内容,加深对概念、原理的理解,弥补课堂理论教学的不足,而且通过电路仿真,可以熟悉常用电子仪器的测量方法,进一步培养学生的综合分析能力、排除故障能力和开发、创新能力。Electronics Workbench最明显的特点是仿真的手段切合实际。选用元器件和仪器与实际情形非常相近。绘制电路图需要的元器件、电路仿真需要的测试仪器均

5、可直接从屏幕上选取,而且仪器的操作开关、按键同实际仪器的极为相似,因此特别容易学习和使用。而且通过电路仿真,既掌握了电路的性能,又熟悉了仪器的使用方法。Electronics Workbench的元器件库不仅提供了数千种电路元器件选用,而且还提供了各种元器件的理想值,因此仿真的结果就是该电路的理论值。这对于验证电路的原理和电子类课程的教学与实验极为方便。同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可从生产厂商的产品使用手册中查到,因此大大方便了使用人员。电子设计自动化(Electronic Design Automation,简称EDA)即是以 CAA,CAD为基础的电子电路设

6、计技术。随着集成电路和计受机的迅速发展,EDA技术已渗透到电了系统和专用集成电路设计的各个环节。一个能完成复杂的超大规模集成电路(VLSI)设计的EDA系统,需要更加丰富的CAA和CAD软件。从高层次的数字电路的自动综合,数字系统的仿真,模拟电路仿真,直到不同层次版图级设计和校验,各种设计软件完成自顶向下的VLSI设计的全过程。计算机的发展促进了大规模、超大规模集成电路的发展,大规模集成电路的发展反过来又促进计算机的发展,相应的 EDA开发工具更是应运而生。利用现场可编程逻辑器件(FPGA,CPLD等)开发工具在实验室里就可以设计出专用集成电路,以硬件描述语言(VHDL)为输出方式的高层次数字

7、系统综合工具已广泛用于VLSI的设计中,使电了设计的自动化程度越来越高。对于从事电路设计及相关领域的工作人员来说,掌握并应用EDA工具,是非常必要的。EWB窗口功能简介一、Electronics Workbench 软件界面启动EWB,可以看到其主窗口,如图121所示。从图中可以看出,EWB模仿了一个实际的电子实验台。主窗口中最大的区域是电路工作区,在这里可以进行电路的连接和测试。在电路工作区的下方是阐述区,可用来对电路进行注释和说明。工作区的上面是菜单栏、工具栏和元器件库栏。从菜单栏可以选择电路连接、实验所需的各种命令。工具栏包含了常用的操作命令按钮。元器件库栏包含了电路实验所需的各种元器件

8、与测试仪器。通过鼠标器操作即可方便地使用各种命令和实验设备。按下“启动停止”开关或“暂停恢复”按钮可以方便地控制实验的进程。图121 Electronics Workbench 软件界面二、元件库栏EWB零部件箱(Parts Bin)提供了非常丰富的元器件库及各种常用测试仪器,给电路仿真实验带来了极大的方便。如图122所示。在工作区上面,按元器件的类别,共有10个部件箱,如下图所示。从左至右有子电路箱、电源箱、无源元件箱、二极管及整流电路、晶体管箱、模拟IC、4个数字逻辑部件箱,还有显示元件箱、函数元件箱及机电元件箱这10个零部件箱。每个零部件箱里都有常用的同类型元器件,使用某个元器件时,只要

9、打开相应的零部件箱,就有各种型号的元器件IC等供选择。一般打开的部件箱位于工作区的左侧,可以滚动、缩放。需要某个元件时,用鼠标单击选择,并拖至工作区;若删除这个元件,可单击它变成高亮,然后在Edit菜单中选择Delete或按Del键。姐图1拣2盘2 卵 E恩WB贝的元缺件库磁栏勒三、茂信号倍源库纲图1割2持3 沿 信机号源践库分腾布栏香四、则基本轮元件娘库坛图1蓝2答4 奶 基举本元到件库芬分布摧栏陆五、蓝二极蓄管库妙图1尺2号5 纳 二窑极管少库分绵布栏剧六、柄模拟粥集成墙电路输库洞图1厘2定6 雷 模摧拟集匹成电婶路库亚分布含栏锋七、救指示限器件科库诸在各他类指堵示器富中,霜包括珍了各事类

10、输制出监梦测显拘示器童、电皇压表户、电钳流表含、逻喜辑探庙针、拴七段雨数码撑管、棚蜂鸣肾器及治条码甲显示架器,药以供料选择旱。 虾秆别随过流用冈逗道竖泻捕俊牺痕针落图1帐2斗7 瓜 指伪示器民件库棋分布凭栏厅八、扒模拟健IC袋、数兵模混拍合、旬IC别数字呈IC驱载于 E援WB浑提供裁模拟载IC肾主要翠是各衫种运豆算放闭大器先、比欺较器冰及锁拌相环禁电路法等。镇而E刮WB偶提供烦的数珍字I土C主润要是员74筛系列蛮和4已系列笼的数际字集冷成电杆路。向数模泳混合掘IC拐部件闸包括笨A/临D、扶D/滤A变辽换器娱、单魄稳压膜触发翁器及党55钢5定搭时电焦路等贯。产图1厘2励8 稳条模拟往IC棉、数家

11、模混惧合、闪IC厌数字液IC游分布栋栏恋九、独基本蹲数字恢门和纲数字狭逻辑窜电路翼EW哀B提换供了颈各类删数字找门、测各种你触发卧器、碎多路士开关锯、移铜位寄化存器每、计宰数器搁及算稠术处反理单氏元等及。李图1芹2住9 够危基本蚂数字衣门和纲数字缠逻辑揉电路陷十、巴单元小电路良EW晨B提廊供了迈各类丽内建部电压蹲、电视流信董号处水理单诉元电鸡路,遭如积老分器佳、微蜘分器鱼、乘袍法器添、除炒法器生及其其它功困能单虚元电厨路等垫。袍图1锋2爆10烧罚单元敬电路炼分布矩栏艺十一独、其淘它元傅件膀EW转B除及了提惠供以络上所立各类枯元件统外,魄按实断际电充路系僻统设盟计和柴测试内的需客要,糊还提益供了

12、远其它牙的一末些辅哥助性药元件讲,如膛保险建丝、钉传输畅线、智直流肺马达迹等,训放在困其它召元件钩库中线。两图1歉2韵11斩健其它朴元件享分布锡栏访十二启、测筑试仪礼表壳在零醋部件透箱的华右侧篮是测兆试仪盆表(涌In票st拣ru周me糊nt循)区何,如博图1威2煮12哀所示迹。在言它里示面存露放有够 7产种测蜂试仪送表可宫供使倘用,舌它们烧是万熄用表府(M悟ul甲ti降ma漂te芦r)长、函百数发眨生器洪(F补un焰ct赚io石n 迷Ge霸ne骄ra惕to叨r)孕、示饿波器吵(O只sc贝il烧lo腰sc谦op戏e)司、频站率特毛性测醉试仪烂(B吴od厘e 科Pl惩ot奥te疫r)诱、字舅发生回

13、器(塔Wo鸣rd鸟 G烧en倘er木at甲or准)、羊逻辑涌分析礼仪(交Lo次gi墓c 肉An溪al围yz查er惹)和箩逻辑判转换来器(腾lo享gl骂e 窃Co冬nv钳er高te淹r)泊。遇另外没,显炉示器考零部忆件箱诞中还坡有两裹种测锹试仪粉表,叉电压贯表(饼Vo帅lt屡me鹿te梢r)订和电棵表(隔Am新me咐te址r)岗。当降需要碍使用执某种俩仪表押时,顷图1连2病12炮测试妖仪表街分布袖栏只骗要从记测试惹仪表欺区中珠把需符要的垦测试例仪表辽拖放俩到工槽作区倒并连口接到萌电路但上,全再根合据测超试情谷况调借整仪瞒器状我态,杆打开焰电源导开关解,电烤路开显始仿习真,西测试敲仪表牵即可梯显示

14、摄测量陕结果题。狭(一委) 截 数僚字多剃用表否的使箱用() 幕电压节表和叼电流竿表航从指杜示器丸件库替中,卵选定辉电压卧表或懒电流钩表,茄用鼠摧标拖当拽到朵电路订工作鸦区中怀,通距过旋茄转操姑作可铅以改记变其鹿引出钢线的伐方向贩。双艰击电框压表杯或电败流表椅可以朽在弹财出对铃话框豪中设绞置工算作参絮数。附电压稍表和常电流众表可肥以多届次选殊用。倒数字令多用暂表的剪量程御可以发自动怜调整偏。下覆图是悠其图番标和守面板伍。晓其电乔压、雄电流颤档的斧内阻启,电暑阻档刺的电面流和绩分贝胆档的柳标准柔电压桌值都贵可以饮任意骄设置库。从据打开它的面柱板上猜选S鸣et优ti罚ng悼 按胶钮可烈以设望置其永

15、参数遥。占图1粘2著13促均数字记万用秃表示吃意图编纸这是机一种约自动乖调整矩量程牧的数析字多跌用表底。其羞电压权档、丝电流搂档的荣内阻杀、电喇阻档亭的电落流值匙和分喝贝档芹标准茫电压怪值都稍可任数意进激行设恼备。商1、核 万劝用表队的调挨节乘万用趴表的刃选择疤项:l 首测试牲类型朵(M粗et蜜er究 T塌yp代e)翼:A哈(电械流)驶、V固(电删流)抄、W验(电种阻)盏、d躲B(风分贝蕉)。l 食信号诉方式贯(S烤ig队na疑l)袋:A旅C(壳交流质)、贞DC弟(直贼流)l 桶设置强(S甩et饮ti著ng裤):杠用于胆设置轿内阻交等。喷2、证使用形万用懂测量根电流知(A借)碗选择身A,准将万

16、狭用表娱作安席培表久使用养,并逗将安颈培表驶串联贡到测咱量电慈路中患。仿棍真后但,万撇用表哪显示汗为被何测电棍流值即。若卖要测俩量另日一支肚路电宅流,婆需重斑新连厕接万只用表擦并再隔次仿落真。脉安培增表的巴内阻兰预设充为l昂mW誓,如神果需寄要,葡可以浮单击捉Se化tt颈in普gs渡按钮届重新纺设置速。壮3桐用万涝用表提测量败电压锦(V叫)扭选择藏V,刘将万亚用表络作为笑电压洗表使善用。后将电能压表批并接球在电毒路中蛮需要垃测量调的两扎个节驾点上轧,电允路仿暖真,剥万用榴表显贝示电野路中霞两节咐点之恋间的交电压志。苹电压浓表的勇内阻房设为峡很高疮的值避(化1MW劲),据如果壤需要独改变凶,可紫以单补击S漫et楚ti浑ng海s按吼钮重煮新设窃置。沉电路若仿真挖被激馒活后摊,可耽以将承电压渠表

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 金融/证券 > 财经资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号