实验三4位2选1多路选择器的设计与实现

上传人:枫** 文档编号:562257620 上传时间:2023-06-06 格式:DOCX 页数:3 大小:49.20KB
返回 下载 相关 举报
实验三4位2选1多路选择器的设计与实现_第1页
第1页 / 共3页
实验三4位2选1多路选择器的设计与实现_第2页
第2页 / 共3页
实验三4位2选1多路选择器的设计与实现_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验三4位2选1多路选择器的设计与实现》由会员分享,可在线阅读,更多相关《实验三4位2选1多路选择器的设计与实现(3页珍藏版)》请在金锄头文库上搜索。

1、实验三 4位2选1多路选择器的设计与实现一实验目的1. 使用ISE软件设计并仿真;2学会程序下载。二实验内容使用ISE软件进行4位2选1多路选择器的设计与实现。三实验步骤1. 编写文本文件并编译2. 软件仿真3. 进行硬件配置四实验原理1. ISE软件是一个支持数字系统设计的开发平台。2. 用ISE软件进行设计开发时基于相应器件型号的。注意:软件设计时选择的器件型号是与实际下载板上的器件型号相同。3. 图3-1所示为4位2选1多路选择器的原理图,本实验中用Verilog语句来描述。(1)新建工程双击桌面上“ISE Design Suite 14.7”图标,启动ISE软件(也可从开始菜 单启动)

2、。每次打开ISE都会默认恢复到最近使用过的工程界面。当第一次使用时, 由于还没有历史工程记录,所以工程管理区显示空白。选择File NewProject 选项,在弹出的对话框中输入工程名称并指定工程路径。点击Next按钮进入下一页,选择所使用的芯片及综合、仿真工具。计算机上 安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到。在图中 我们选用了 Spar tan6 XC6SLX16芯片,采用CSG324封装,这是NEXYS3开发板所用 的芯片。另外,我们选择Verilog作为默认的硬件描述语言。再点击Next按钮进入下一页,这里显示了新建工程的信息,确认无误后,点 击Finish

3、就可以建立一个完整的工程了。(2)设计输入和代码仿真在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命 令,选择Verilog Module输入,并输入Verilog文件名。单击Nex t按钮进入端口定义对话框。其中Module Name栏用于输入模块名, 这里是mux24a,下面的列表框用于端口的定义。Port Name表示端口名称, Direction表示端口方向(可选择为input、output或inout),MSB表示信号最高位, LSB表示信号最低位,对于单信号的MSB和LSB不用填写。当然,端口定义这一步 我们也可以略过,在源程序中再行添加。定义了模块的端口

4、后,单击Nex t进入下一步,点击Finish完成创建。这样, ISE就会自动创建一个Verilog模块的模板,并且在源代码编辑区打开。简单的注 释、模块和端口定义已经自动生成,接下来的工作就是将代码编写完整。输入代码后,我们还需要对模块进行测试。在工程管理区将view设置为 Simulation,在任意位置单击鼠标右键,并在弹出的菜单中选择New Source,在 类型中选择Verilog Test Fixture,输入测试文件名,单击下一步。这时所有工 程中的模块名都会显示出来,我们选择要进行测试的模块。点击Next,再单击 Finish按钮,ISE会在源代码编辑区自动生成测试模块的代码。

5、我们看到,ISE 已经自动生成了基本的信号并对被测模块做了例化。我们的工作就是在 ini tialend块中的“ /Add sti mulus here 后面添加测试向量。完成测试文件编辑后,确认工程管理区中view选项设置为Simulation,这时 在过程管理区会显示与仿真有关的进程。右键单击其中的Simula te Behavioral Model项,选择弹出菜单中的Process Proper ties项,会弹出属性设置对话框, 其中Simula tion Run Time就是仿真时间的设置,可将其修改为任意时长。仿真参数设置完后,就可以进行仿真。首先在工程管理区选中测试代码,然 后在

6、过程管理区双击 Simula te Behavioral Model, ISE 将启动 ISE Simula tor, 可以得到仿真结果,如图3-2所示。图3-2(3)综合与实现在工程管理区的view中选择Implementat ion,然后在过程管理区双击 Syn thesize-XST,就可以开始综合过程。另外,要实现设计,还需要为模块中的输入输出信号添加管脚约束,这就需 要在工程中添加UC F文件。在工程管理区单击鼠标右键,点击New Source,选择 Implemen tat ion-Cons train ts File,出现一个空白的约束文件,我们就可以为 设计添加各种约束。如果综

7、合步骤没有语法错误,XST能够给出初步的资源消耗 情况,点击Design Summary,即可查看。在过程管理区双击Implementation Design选项,就可以自动完成实现步骤。 如果设计没有经过综合,就会启动XST完成综合,在综合后完成实现过程。经过 实现后能够得到精确的资源占用情况。在Design Summary即可看到具体的资源占 用情况。(4)器件配置硬件配置是FPGA开发最关键的一步,只有将HDL代码下载到FPGA芯片中,才 能进行调试并最终实现相应的功能。首先我们必须生成能下载到硬件中的二进制 比特文件。双击过程管理区的Generate Programming File,

8、ISE就会为设计生成 相应的二进制比特文件。然后利用USB-MiniUSB缆线,来为开发板提供电源和数据下载。我们只需上 网下载免费的Digilent Adep t软件,即可快速实现Nexys3开发板上FPGA的配置。 用USB-MiniUSB缆线连接开发板和PC,打开开发板的电源开关,然后启动Digilent Adep t软件。系统开始自动连接FPGA设备,成功检测到设备后,会显示出JTAG链 上所用芯片。界面上将显示检测到NEXYS3开发板上的器件FPGA(XC6SLX16)。这里我们对 FPGA进行配置。在Browse中找到之前生成的设计的二进制比特文件,并点击旁边 的Program按钮

9、,软件就开始对FPGA进行配置。配置成功后,下面的状态栏会显 示Programming Successful。至此,器件配置成功,我们就可以在器件上验证预 期的设计有没有很好的得以实现。五实验结论补充:(仅供参考)1.4位2选1多路选择器的verilog源代码module mux24a(input wire 3:0 a,input wire 3:0 b,input wire s,output wire 3:0 y);assign y = 4s & a | 4s & b;endmodule2.4位2选1多路选择器的约束文件NET a0 LOC = T5;NET a1 LOC = V8;NET a2 LOC = U8;NET a3 LOC = N8;NET b0 LOC = M8;NET b1 LOC = V9;NET b2 LOC = T9;NET b3 LOC = T10;NET s LOC = C9;NET y0 LOC = T11;NET y1 LOC = R11;NET y2 LOC = N11;NET y3 LOC = M11;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号