通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文

上传人:枫** 文档编号:562133902 上传时间:2022-10-20 格式:DOC 页数:28 大小:547.50KB
返回 下载 相关 举报
通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文_第1页
第1页 / 共28页
通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文_第2页
第2页 / 共28页
通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文_第3页
第3页 / 共28页
通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文_第4页
第4页 / 共28页
通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文》由会员分享,可在线阅读,更多相关《通信工程基于cpld--fpga的psk解调系统的设计与实现本科学位论文(28页珍藏版)》请在金锄头文库上搜索。

1、毕业设计(论文)开题报告(含文献综述、外文翻译)装订本插页2-2开 题 报 告(包括选题的意义、可行性分析、研究的内容、研究方法、拟解决的关键问题、预期结果、研究进度计划等)1一、 选题的意义从上个世纪初至今,计算机和半导体技术得到了飞速发展,伴随着无线通信的理论和技术也不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。其中对信号的调制解调技术一直是人们研究的重要方向之一,因为一个系统的通信质量,很大程度上依赖于所采用的调制解调方式,对调制解调方式的研究,将直接决定着通信系

2、统质量的好坏。可编程逻辑器件 (Programmable Logic Device ,PLD )给数字系统的设计带来了革命性的变化。他的影响丝毫不亚于20 世纪70 年代单片机的发明和使用,可以毫不夸张的讲,PLD 能完成任何数字器件的功能,上至高性能CPU ,下至简单的74 电路。PLD 如同一张白纸,工程师可以通过原理图输入法,也可以通过硬件描述语言,还可以二者混合自由地设计一个数字系统。使用 PLD 来开发数字电路,可以大大缩短设计时间,减少 PCB 面积,提高系统的可靠性。PLD 的这些优点使得 PLD 技术在 20 世纪90 年代以后得到飞速的发展,成为电子设计领域中最具活力和发展前

3、途的一项技术。目前,这项技术 PLD 按其内部结构不同又延伸出两个分支,即复杂可编程逻辑器件 (Complex Programmable Logic Device,CPLD) 和现场可编程门阵列(Field Programmable Gate Array,FPGA ),两者统称为可编程逻辑器件或CPLD/ FPGA。复杂可编程逻辑器件(CPLD)结合了专用集成电路和DSP的优势,既具有很高的处理速度,又具有一定的灵活性。因此,基于CPLD的数字调制解调系统的研究具有重要的实际意义。由于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率较高,所以,在中、高速数字通信中被广泛采用。二、 可行性

4、分析随着微电子技术和现代计算机技术进一步发展和结合, 使得集成电路的设计出现新的技术EDA 技术。EDA (Electronic Design Automation) 技术是以计算机为基本工作平台, Max+Plus 2 等软件工具为开发环境, 采用原理图、硬件描述语言(Hardw are Description Language, HDL ) 等输入方式, 以可编程器件为硬件载体, 实现源代码编程、自动逻辑编译、逻辑简化、逻辑分割、逻辑综合、布局布线、逻辑优化和功能仿真的电子设计技术。EDA 技术克服了传统的数字电子系统或IC 设计中电路的设计复杂、调试困难、错误查找和修改十分不便、可移植性

5、差, 只有在设计出样机或生产出芯片后才能进行实测等缺点, 以其设计的标准化、规范化、通用性、可移植性与可测试性强等特点, 逐渐成为现代电子设计技术的核心。特别是使用了硬件描述语言VHDL , VHDL 的英文全称是VHSIC (Very High Speed Integrated Circuit) Hardw are Description Language, 即超高速集成电路硬件描述语言, 由于VHDL 语言具有与具体硬件电路和设计平台无关的特性, 并且具有良好的电路行为描述和系统描述能力,能从多个层次对数字系统进行建模和描述, 并在语言易读性和层次化、结构化设计方面, 表现了强大的生命力和

6、应用潜力, VHDL 还支持各种模式的设计方法、自顶向下与自底向上或混合设计, 在面对当今许多电子产品生命周期的缩短, 需要多次重新设计以融入最新技术, 改变工艺等方面都表现了良好的适应性。载有基带信号的高频正弦波信号称为载波,数学上准确表示正弦波时,经常采用振幅A、角频率 和相位 三要素,即y(t)=Acos(t+)根据基带信号的值,改变三要素中的任何一种,就有了3种基本的调制方式:数字信号对载波振幅调制称为振幅键控,即ASK(Amplitude Shift Keying);对载波频率调制称为频移键控,即FSK(Frequency Shift Keying);对载波相位调制称为相移键(相位键

7、控),即PSK(Phase Shift Keying)。 图1 2CPSK系统框图2CPSK由发送端的调制模块与接收端的解调模块构成,其系统框图如图1所示。在发送端,对于调制模块,首先产生两种不同相位的载波信号f1和f2,再通过一个二选一选通开关来选择载波信号,其中具体的载波信号由输入的基带信号来决定。这些信号处理都在CPLD中实现,输出的即为2CPSK调制信号,最后通过信道发送到接收端。对于解调模块,调制信号先由位同步提取电路提取出载波同步信号,然后由载波同步信号来控制计数器的启动与停止,分别对调制信号来计数,最后通过一个判决电路来判断输入的调制信号是0 还是1,输出的即为解调的基带信号。2

8、CPSK是受键控的载波相位按基带脉冲而改变的一种数字调制方式。 它以载波的不同相位去直接表示数字信息, 因此又被称为绝对移相方式, 通常采用相干解调, 相干解调方式是根据接收信号波形与本地载波的相对相位关系来恢复出原数字信息, 一旦本地载波参考相位发生跳变, 则恢复出的数字信息就会发生“倒”现象。 由于实际通信时参考基准相位的随机跳变是可能的, 而且不易被发觉, 为此实际中一般不采用 2CPSK方式, 而采用相对移相(2DPSK)方式 。2DPSK是利用前后相邻码元的相对载波相位值表示数字信息的一种方式, 即由前后码元相对相位的差值唯一确定信息符号, 因此解调2DPSK信号时并不依赖于某一固定

9、的载波参考相位, 只要通过鉴别前后相邻码元的相对相位关系就可以正确恢复出数字信息, 从而避免了2CPSK 方式的“倒” 现象发生。DPSK信号应用较多,但由于它的调制规律比较复杂,难以直接产生,目前DPSK信号的产生较多地采用码变换加CPSK调制而获得。这种方法是把原基带信号经过绝对码相对码变换后,用相对码进行CPSK调制,其输出便是DPSK信号。同样,对于DPSK信号的解调,则要经过相对码绝对码变换。其系统框图如图2所示。 图2 DPSK 系统框图三、 研究内容进行DPSK解调方案选择,给出线路结构、VHDL语言编程并提供设计结果。用CPLD实现PSK数字解调系统的方法,其实现步骤包括:1.

10、研究PSK解调系统的原理及设计方法;2.根据各个系统的总体功能与硬件特点,设计总体框图;3.根据VHDL语言特点,对系统进行VHDL建模;4.根据VHDL模型,进行具体VHDL语言程序设计;5.对设计的程序进行波形仿真。四、 研究方法CPSK解调模块的VHDL模型方框图如图3所示。图中的计数器q输出与发端同步的0相数字载波。判决器的工作原理是:把计数器输出的0相载波与数字CPSK信号中的载波进行逻辑“与”运算,当两比较信号在判决时刻都为“1”时,输出为“1”,否则输出为“0”,以实现解调的目的。 图3 CPSK 解调模块的VHDL模型方框图相对码绝对码之间的关系为:绝对码中的码元“1”使相对码

11、元改变,绝对码元“0”使相对码元不变;也可以说成,相对码元改变则对应的绝对码元为“1”,相对码元不变则对应的绝对码元为“0”,这个关系可以通过异或来实现。图4 相对码-绝对码转换模块的VHDL模型方框图由此,可得到相对码-绝对码转换模块的VHDL模型方框图,如图4所示。图中的计数器与图3中的计数器相同,异或门与寄存器共同完成绝/相变换功能。五、 拟解决的关键问题PSK解调的原理研究以及其实现的方案,了解CPLD的性能原理,整个系统设计的框架原理,了解VHDL语言的特点,编写分频、译码、相对码调制信号产生、CPSK解调以及其相对码到绝对码的VHDL程序语言,其中开始信号start和时钟信号clk

12、要协调好,然后还有各个模块要有机的结合起来,才能实现预想的效果,最后就是软件仿真。六、 预期结果本次设计的是DPSK解调系统,先输入一个相对码调制信号,然后通过解调模块输出相对基带信号,最后通过相对码-绝对码转换模块得到绝对基带信号,以上可以在maxplus2里仿真实现,例:相对码调制信号: f1 f2 f1 f1 f2 f2 f1 f2 f2 f2 f1 f2 f1相对码基带信号:(0) 1 0 1 1 0 0 1 0 0 0 1 0 1绝对码基带信号: 1 1 1 0 1 0 1 1 0 0 1 1 1仿真成功以后,设计外围电路,选择相应器件并进行搭建,产生合适的clk信号、start信号

13、以及相对码调制信号:由晶振产生脉冲通过分频模块(由VHDL语言编写)得到合适的时钟信号clk,相对码调制信号由外界输入,寄存在一个寄存读取模块(由VHDL语言编写)以供解调模块(由VHDL语言编写)解调使用,将各个主要模块输出的信号寄存通过发光二极管显示,这样有利于实际结果和理论结果的比较,这些也可以先在maxplus2里仿真实现。七、 研究计划进度设计初步分以下阶段来逐步加以细化:1.第7学期第3周之前确定课题题目;2.第7学期第5周之前完成外文查阅和外文翻译初稿;3.第7学期第9周之前完成文献收集和查询,了解CPLD,VHDL语言,PSK解调相关知识;4.第7学期第12周之前列出开题报告提

14、纲;5.第7学期第14周之前完成开题报告(包括文献综述、外文翻译等所有文档),完成设计方案初稿;6.第7学期第15周指导老师批阅开题报告、文献综述和外文翻译;7.第7学期第17周之前进行学校开题报告答辩,完成设计方案修改稿;8.第8学期第1周至第6周完成基于CPLD的PSK解调系统的分析及设计、调试;9.第8学期第7周之前准备好接受指导老师的中期检查,检查内容为毕业设计工作进展;10.第8学期第12周之前完成毕业论文设计的初稿,交指导教师审阅后进行修改;11.第8学期第13周之前完成毕业设计(论文)的终搞(包括内容、格式、撰写规范等),经指导老师审阅后,按照要求对论文设计进行装订(由分院统一封

15、面);12.第8学期第15周之前完成答辩;毕业设计结束前需上交:开题报告(包括文献综述、外文翻译)合订本一份;毕业设计论文二份(文字版)和电子版(一份)。毕业设计(论文)开题报告(含文献综述、外文翻译)装订本插页2-3毕业设计(论文)文 献 综 述(包括国内外现状、研究方向、进展情况、存在问题、参考依据等)关于PSK调制/解调及CPLD/FPGA的综述一、国内外现状当今社会通信信号调制识别成为研究热点之一,国内外都有相关方面的研究,并且取得很好的结果。近十几年来,随着计算机,人工智能,模式识别和信号处理等技术的飞速发展.通信信号的自动调制识别技术得到长足地发展1。数字调制传输在现代通信中发挥着越来越重要的作用, 2PSK及2DPSK是数字调制传输的两种常用方式,PSK是由载波相位来表示信号占和空或者二进制1和O。对于有线线路上较高的数据传输速率,可能发生4个或8个不同的相移,系统要求在接收机上有精确和稳定的参考相位来分辨所使用的各种相位。利用不同的连续的相移键控,这个参考相位被按照相位改变而进行的编码数据所取代,并且通过将相位与前面的位进行比较来检测。而D

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号