篮球比赛电子记分牌设计

上传人:cl****1 文档编号:562078461 上传时间:2024-01-03 格式:DOCX 页数:11 大小:392.48KB
返回 下载 相关 举报
篮球比赛电子记分牌设计_第1页
第1页 / 共11页
篮球比赛电子记分牌设计_第2页
第2页 / 共11页
篮球比赛电子记分牌设计_第3页
第3页 / 共11页
篮球比赛电子记分牌设计_第4页
第4页 / 共11页
篮球比赛电子记分牌设计_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《篮球比赛电子记分牌设计》由会员分享,可在线阅读,更多相关《篮球比赛电子记分牌设计(11页珍藏版)》请在金锄头文库上搜索。

1、设计思路分析篮球比赛计时记分系统程序多而且复杂,所以用图形设计方法来设计。先把每一模块的程序写好, 然后生成图形文件,最后新建一个顶层图形文件,把各模块联结起来。包括的模块有分频模块、计时模 块、记分模块、脉冲产生模块、滤波模块、选择模块、声光显示模块,显示模块及消抖模块等。程序总体框图为:二 单元电路设计2.1 12 进制减法计数器这个计数器用来表示每一节比赛的分钟位,它的时钟脉冲应由前一个60 进制计数器 的 CO 提供。具备复位功能。可以将 12 进制改为任意进制的减法计数器。当计数结束后, 计数器不再计数。当CO有效时进行减法计数,当计数器减到0之,SO输出一个高电平。EN等于1时计数

2、器复位,当C05等于1时实现比赛加时操作。生成元件图:仿真波形图:240.0 nsVai iie atName13. 3 ns329.lb ns315.86 ns480. 02.2 60 进制减法计数器这个计数器用来表示每一节比赛的秒钟位。要求在完成 12个60 进制的计数后,计 数器不再计数。具备清零、暂停以及复位的功能。当CLK有效时进行减法计数,CLR等于1时整个计数器清零,EN等于1时计数器 复位,PAUSE等于1时计数器暂停计数,。当计数器减到0之,CO输出一个高电平。 生成元件图:仿真波形图:Master Time Ear:co:EHCLRPAUSErE皿 o o G s c s

3、sE oi.rriSiaulation TaveforaTrvt erval: |Start:15.525 ns230.TT ns215.25 ils1 Fointer:End:15. 53 nsB 1B 03 ps15.525 ns1E6卩ns 24a卩ns 326卩ns 切卩ns 486卩ns 昴卩ns 触6卩ns T2H卩ns釦6卩ns珀6卩nsJ_a_a_a_a_a_a_a_a_a_a_a_a_a_a_o_a_a_a_a_a_a_a_a_a_a_a_a_a_a_mI I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I

4、I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I IB 0B 0A,A2.3 99 进制可逆计数器这个计数器用来表示比赛球队分别的得分。当S出现上升沿时开始记分,CLR等于 是是1时整个计数器清零。生成元件图:仿真波形如下:2.6 1对 2数据选择器由于比赛两方的比分不尽相同,所以用一个数据选择器来正确的给某一方加分。当按下P4时,给A队加分,A的输出为CO;当再次按下P4时,给B队加分,B的输出为

5、CO。程序流程图:生成元件图:仿真波形如下:2.7 脉冲产生器由于比赛的得分分别为 1分,2分,3分。所以对应的,当得分为1时,应 当产生1个脉冲,当得分为 2时,产生2个脉冲,以此类推。在输入信号CLK存在的情况下,当P1为高电平,则CO产生1个脉冲信 号。P2为高电平,产生2个脉冲信号。P3为高电平,产生3个脉冲信号。 生成元件图仿真波形如下:2.8 滤波模块从脉冲产生器仿真的波形图看出,输出脉冲会有毛刺,所以加一个滤波模 块,用以消除毛刺。如果高电平持续时间大于某一数值,则判定为是一个脉冲,否则判定为毛 刺。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL

6、;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LB ISPORT(CLK_50MHZ:IN STD_LOGIC;OUT2:OUT STD_LOGIC;CO:IN STD_LOGIC);END;ARCHITECTURE ONE OF LB ISSIGNAL QQ:INTEGER RANGE 25000000 DOWNTO 0;BEGINPROCESS(CLK_50MHZ)BEGINIF CLK_50MHZEVENT AND CLK_50MHZ=1 THENIF CO=1 THENQQ=QQ+1;ELSEQQ125500 THENOUT2=1;ELSEOUT2=0

7、;END IF;END PROCESS;END;生成元件图:LBCLK_50MHZCOOUT2ins t2.9 声光显示模块上半场计时中,上半场指示灯亮,时间到,输出 3s 的声光提示,下半 场指示灯亮,时间到,输出5s的声光提示。2.9.1 上下半场指示程序流程图:生成元件图:仿真波形图:2.9.2 上半场声光提示2.9.3 下半声声光提示XIABOOMCLK 5MSLEDXIAinst2.10显示模块由于试验箱采用动态显示,所以需要将BCD码转换成段码和位码。DO到D7分别表示8个LED管。程序可以表示为:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;U

8、SE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DISP ISPORT(CLK:IN STD_LOGIC;m_ge,m_shi,f_ge,f_shi,a_ge,a_shi,b_ge,b_shi:IN STD_LOGIC_VECTOR(3 DOWNTO O);sel:OUT STD_LOGIC_VECTOR(7 DOWNTO O);LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO O);END;ARCHITECTURE ONE OF DISP ISSIGNAL QQ:INTEGER RANGE 25OOOOOO DOWNTO O;SIGNAL C

9、LK2:STD_LOGIC;SIGNAL Q:STD_LOGIC_VECTOR(3 DOWNTO O);signal sel1:STD_LOGIC_VECTOR(2 DOWNTO O);BEGINPROCESS(CLK)-数码管扫描分频BEGINIF CLKEVENT AND CLK=1 THENIF QQ25000 THEN QQ=QQ+1;ELSEQQ=0;CLK2=NOT CLK2;END IF;END IF;END PROCESS;PROCESS(CLK2)-扫描数码管选择BEGINIF CLK2EVENT AND CLK2=1 THEN sel1Q=m_ge; selQ=m_shi;selQ=f_ge; selQ=f_shi;selQ=a_ge; selQ=a_shi;selQ=b_ge; selQ=b_shi;selNULL;END CASE;END PROCESS;PROCESS(Q)-数码管显示BEGINCASE Q ISWHEN 0000=LED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SNULL;END CASE;END PROCES

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号