基于FPGA的数字钟设计

上传人:cn****1 文档编号:561461720 上传时间:2023-02-28 格式:DOCX 页数:12 大小:847.36KB
返回 下载 相关 举报
基于FPGA的数字钟设计_第1页
第1页 / 共12页
基于FPGA的数字钟设计_第2页
第2页 / 共12页
基于FPGA的数字钟设计_第3页
第3页 / 共12页
基于FPGA的数字钟设计_第4页
第4页 / 共12页
基于FPGA的数字钟设计_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《基于FPGA的数字钟设计》由会员分享,可在线阅读,更多相关《基于FPGA的数字钟设计(12页珍藏版)》请在金锄头文库上搜索。

1、摘 要 本设计为一个可调数字钟,具有时、分、秒计数功能,以24小时循环计数。本设计采用FPGA技术,以硬件描述语言VreilogHDL为系统逻辑描述手段设计文件,在QUARTUS2工具软件环境下,采用自顶向下的设计方法,由各个模块共同构建一个基于CPLD的数字钟。系统芯片采用6块74160实现了60进制(分、秒)、24进制(小时)的编译,由时钟模块、控制模块、计时模块、数据译码模块、显示模块组成。最终经过编译和仿真所涉及的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,可以在程序中直接改变初始值。关键词:数字钟 硬件描述语言VerilogHDL FPGA1.实验目的 本实

2、验主要内容涉及数字逻辑电路的设计、数字电路仿真软件Quartus 以及VHDL设计语言的学习与应用。实验目的在于让实验者掌握数字逻辑电路的设计方法和过程,并且能够熟练准确地设计出具有特定功能的较复杂数字逻辑电路,此外,实验者还应熟练掌握Quartus 软件的设计、仿真以及编译下载的使用方法。2 .课程要求 用Verilog硬件描述语言设计数字钟,实现: 1.具有时、分、秒计数显示功能,以二十四小时循环计时。 2.具有调节小时、分钟功能。 3.具有整点报时功能。50MHz3.系统设计总体方案分频网络计数模块秒模块时模块分模块编译显示模块4. 各模块电路具体实现 4.1 时钟分频模块module

3、div_clk(clk_50MHz,clk_1Hz,clk_1KHz,clk_500Hz);input clk_50MHz;output clk_1Hz,clk_500Hz,clk_1KHz;reg clk_1Hz,clk_500Hz,clk_1KHz;reg 24:0 cnt1;reg 24:0 cnt2;reg 24:0 cnt3;always (posedge clk_50MHz)begin if(cnt1 = 24999999) begin cnt1=0; clk_1Hz=clk_1Hz; end else cnt1=cnt1+1b1; endalways (posedge clk_5

4、0MHz)begin if(cnt2 = 24999) begin cnt2=0; clk_1KHz=clk_1KHz; end else cnt2=cnt2+1b1;endalways (posedge clk_50MHz)begin if(cnt3 = 49999) begin cnt3=0; clk_500Hz=clk_500Hz; end else cnt3=cnt3+1b1;endendmodule 4.2计数器模块的设计与实现 4.2.1秒显示模块的实现图4.2.1 秒钟显示模块4.2.2分钟显示模块的实现图4.2.2 分钟显示模块4.2.3小时显示模块的实现图4.2.3 时钟显示

5、模块4.3 数码管显示及译码模块moduledecode(clk_1KHz,hour_ten,hour_one,min_ten,min_one,sec_ten,sec_one,dig,seg);inputclk_1KHz;input3:0hour_ten,hour_one,min_ten,min_one,sec_ten,sec_one;output7:0dig;output7:0seg;reg7:0seg_reg;reg7:0dig_reg;reg3:0dat_reg;reg2:0count;always(posedgeclk_1KHz)beginif(count=5)count=0;else

6、count=count+1b1;endalways(posedgeclk_1KHz)begincase(count)3d0:begindat_reg=hour_ten3:0;dig_reg=8b01111111;end3d1:begindat_reg=hour_one3:0;dig_reg=8b10111111;end 3d2:begindat_reg=min_ten3:0;dig_reg=8b11011111;end3d3:begindat_reg=min_one3:0;dig_reg=8b11101111;end3d4:begindat_reg=sec_ten3:0;dig_reg=8b1

7、1110111;end3d5:begindat_reg=sec_one3:0;dig_reg=8b11111011;enddefault:begindat_reg=0;dig_reg=6b000000;endendcaseendalways(dat_reg)begincase(dat_reg)4h0:seg_reg=8hc0; 4h1:seg_reg=8hf9;4h2:seg_reg=8ha4;4h3:seg_reg=8hb0;4h4:seg_reg=8h99;4h5:seg_reg=8h92;4h6:seg_reg=8h82;4h7:seg_reg=8hf8;4h8:seg_reg=8h80

8、;4h9:seg_reg=8h90; default:seg_reg=8hff;endcaseendassigndig=dig_reg;assignseg=seg_reg;endmodule4.4 数字钟电路模块图4.4.1 数字钟整体模块5 . 系统仿真及硬件下载5.1系统仿真图5.1.1 秒钟仿真结果图5.1.2 分频仿真结果5.2硬件下载 经下载后,能实现课程设计要求。但因设计时间匆忙,并未拍摄照片。6 . 课程总结 通过本次EDA设计,掌握了较为复杂逻辑电路的设计方法,了解了用VHDL语言编程设计电路的一般方法。同时锻炼了自己的分析理解能力和动手能力,并且加深了对数电相关知识的理解。实

9、验时需要十分细心,一不小心就容易出错,一旦出现错误,就要马上耐心地检查线路,分析出错原因,找到错误,并加以更正。如果不是接线问题,那再去思考一下,是不是设计的原理图有问题。虽然这不是一个容易的过程,但在这个过程中,锻炼了自己的各项能力,令我受益匪浅。其实,实验的过程也是锻炼耐力的过程。在添加闹钟功能时,我们曾遇到过困难。本来我们的报时电路是对的,可加上闹钟功能后,非但闹钟到时刻不响,就连原本的整点报时也不响了,经过一番仔细的盘查,我们发现原来是我们错用了或门,用高电平和发声频率相或,所以才会没有声音。另外,在给闹钟增加音乐功能时也花了不少力气,刚开始在网上找的相关资料都不能用,最后终于找到了一

10、个可以播放音乐的资料,我们将找到的VHDL源程序输入到自己的工程,终于成功让闹钟播放音乐闹铃了,那一刻,我们相当开心,因为这是我们通过努力才得到的收获。 这次EDA设计锻炼了我很多方面的能力,为将来的继续学习和工作都积累了宝贵的经验。希望学校能增加这种实践环节来培养我们的动手能力、分析能力,这些都是在理论课上不曾学到的。参考文献:1康华光.电子数字基础:数字部分.4版.北京:高等教育出版社,2000.2蒋立平.数字电路.北京:兵器工业出版社,2001.3.3康华光,陈大钦.电子技术基础数字部分(第五版)M. 北京:高等教育出版社,2005.4谢自美.电子线路设计实验测试(第三版)M.武汉:华中科技大学出版社, 2006.5 赵保经.中国集成电路大全.国防工业出版社, 1985.附录:附录1 分频仿真图附录2 电路全图1

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 国内外标准规范

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号