基于FPGA的出租车计价器设计特选材料

上传人:hs****ma 文档编号:561181758 上传时间:2022-08-07 格式:DOC 页数:21 大小:1.30MB
返回 下载 相关 举报
基于FPGA的出租车计价器设计特选材料_第1页
第1页 / 共21页
基于FPGA的出租车计价器设计特选材料_第2页
第2页 / 共21页
基于FPGA的出租车计价器设计特选材料_第3页
第3页 / 共21页
基于FPGA的出租车计价器设计特选材料_第4页
第4页 / 共21页
基于FPGA的出租车计价器设计特选材料_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《基于FPGA的出租车计价器设计特选材料》由会员分享,可在线阅读,更多相关《基于FPGA的出租车计价器设计特选材料(21页珍藏版)》请在金锄头文库上搜索。

1、信号与信息处理综合应用设计报告题目:基于FPGA的出租车计费器设计二级学院 电子信息及其自动化学院 专 业 电子信息工程 班 级 电子2班 学生姓名 许震 学号 11107990326 指导教师 包明 王培容 施邦利 时 间 2014.10.21-2014.11.7 材料a基于FPGA的出租车计价器设计摘要介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用FPGA器件构成该数字系统的设计思想和实现过程。论述了计程模块,计费模块,计时模块,动态译码模块等的设计方法与技巧。 1引言:随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,大规模可编程逻辑器件CPLDFPGA的出现

2、,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以fpga芯片为控制核心、附加一定外围电路组成的出租车计费器系统。随着社会的不断进步,人们生活水平的不断提高,出租车逐渐成为人们日常生活不可缺少的交通工具。而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛。2系统规范:2.1,出租车计价器的要求:出租车起步价为8元,行驶过程中大于两公里后每公里1元,中途停止等待时间累计大于三分钟后按每3分钟1元计价。计价系统里程显示范围为:099公里,分辨率1公里。计价费用显示范

3、围为:0999元,分辨率1元。等待计时器显示范围为:060分钟,分辨率1分钟。2.2计价系统原理:出租车载客后,启动计费器,整个系统开始运行,里程计数器和时间计数器从0开始计数,费用计数器从8开始计算。再根据行驶里程或停止等待的时间按以上的标准计费。若在行驶状态,则计程器开始加计数,超过两公里后每公里1元。若出租车停止等待状态,则计时器开始加计数,当时间超过三分钟后,计费器以每3分钟1元累加。出租车到达目的地停止后,停止计费器,显示总费用。根据出租车计费器的工作过程,本系统采用分层次、分模块的方式设计,其本系统组成框图如下所示。其中行驶路程计数模块、等待时间计数模块和计费模块,用来统计路程、等

4、待时间和总费用,控制模块是用来控制计费模块,数码管显示模块用来显示行驶的公里数、等待累计时间和总费用等信息。总设计图:3 .各模块设计:3.1分频模块:3.1.1,计数器的分频模块:3.1 .2 计数器的分频模块具体框图: 引脚分配: 信号名称功能描述方向宽度 Clk输入50MHz的时钟信号input1Clk_1输出经过分频以后的1Hz的时钟信号 output1reset复位信号 input1电路设计:此模块的功能是对总的时钟进行分频,分出的频率是让计数器用的,因为总的时钟是50M的。设计该模块的时候用了一个30位的计数器,当计数器计到25_000000的时候产生时钟。3.1 .3,计数器的分

5、频模块VerilogHDL源代码:module fenpin(clk,reset,clk_1); input clk,reset; output clk_1; reg clk_1; reg 29:0 count; always(posedge clk,negedge reset) begin if(!reset) begin count=0; clk_1=1b0; end else if(count=25000000) begin count=0; clk_1=!clk_1; end else count=count+1d1; endendmodule仿真的结果:3.2,计程模块:3.2.1,

6、计程模块的框图:引脚分配 信号名称功能描述方向宽度start出租车开启信号input1Clk_1分频后计时时钟,频率为1HzInput1reset清零信号Input1 lch计程模块计算出的里程数Output8此模块的功能是计算出租车行驶的路程。在出租车启动并行驶的过程中(即复位/启动信号reset为0,行驶/停止信号start为1),当时钟clk是上升沿的时候,系统即对里程计数器进行加计数。 3.2.2计程模块的VerilogHDL源代码:module licheng(reset,start,clk_1,lch); input reset,start,clk_1; output lch; r

7、eg 7:0 lch; reg 5:0 count; always(negedge reset,posedge clk_1) begin if(!reset) begin lch=8b00000000; count=6b000000; end else begin if(start) begin if(count=6b000001) begin lch=lch+8b00000001; count=count+6b000001; if(lch3:04b1001) begin lch7:4=lch7:4+4b0001; lch3:0=4b0000; end end else if(count=6b

8、000010) count=6b000000; else count=count+6b000001; end end end endmodule3.2.3计程模块的仿真结果:3.3计时模块:3.3.1,计时模块的框图:管脚分配信号名称功能描述输入输出位宽Clk_1分频后计时时钟,频率为1Hzinput1reset清零信号input1start出租车开启信号input1jishi计时模块计算的时间数据output8Jishi_1计时收费输出数据output83.3.2,计时模块的VerilogHDL源代码:module js(reset,start,clk_1,jishi,jishi_1); i

9、nput reset,start,clk_1; output jishi,jishi_1; reg 5:0 count1,count2; reg 7:0 jishi,jishi_1; always(negedge reset,posedge clk_1) begin if(!reset) begin count2=6b000000; count1=6b000000; jishi=8b00000000; jishi_1=8b00000000; end else begin if(!start) begin if(count1=6b000001) begin jishi=jishi+8b00000

10、001; count2=count2+6b000001; count1=count1+6b000001; if(count2=6b000011) begin jishi_1=jishi_1+1b1; count2=6b000000; end if(jishi3:04b1001) begin jishi7:4=jishi7:4+4b0001; jishi3:0=4b0000; end end else if(count1=6b000010) count1=6b000000; else count1=count1+6b000001; end end endendmodule3.3.3计时模块的仿真结果:。3.5,计费模块:3.5.1计费模块的框图:费用计数器模块用于出租车启动后,根据行驶路程和等待时间计算费用。当出租车停车时,时钟select_clk用于将费用计数器复位为起步价8元;当车处于行驶状态且满3公里时,此后路程每满1公里,费用计数器加1元;当出租车处于停止等待状态且时钟满3分钟时,时间每满3分钟,费用计数器加1元。信号名称功能描述输入输出位宽lch计程模块计算出的里程数Input8Jishi_1计时收费输出数据Input8fee计

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号