EDA课程设计报告-彩灯控制器

上传人:cn****1 文档编号:560570066 上传时间:2023-12-08 格式:DOCX 页数:18 大小:147.88KB
返回 下载 相关 举报
EDA课程设计报告-彩灯控制器_第1页
第1页 / 共18页
EDA课程设计报告-彩灯控制器_第2页
第2页 / 共18页
EDA课程设计报告-彩灯控制器_第3页
第3页 / 共18页
EDA课程设计报告-彩灯控制器_第4页
第4页 / 共18页
EDA课程设计报告-彩灯控制器_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《EDA课程设计报告-彩灯控制器》由会员分享,可在线阅读,更多相关《EDA课程设计报告-彩灯控制器(18页珍藏版)》请在金锄头文库上搜索。

1、EDA 技术课程设计报告学院:信息科学技术学院专业:通信工程班级:通信(2)班姓名:肖强学号:20081604B0642011年 06月26 日目录摘 要 1一. 设计目的 1二. 设计题目描述及要求 1三. 设计原理 23.1方案论证 43.2模块设计 4集成分频器模块 43.2.2 32进制计数器模块 53.2.3 彩灯控制模块 53.2.4 4选1选择器模块 53.2.5 4进制计数器模块 63.3系统结构 6四. 总结 7参考文献 8附录一.编译 8附录二.时序仿真 8附录三.程序 9摘要循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集 成电路。绝大多数的彩灯控制电路

2、都是用数字电路来实现的,例如,用中规模集 成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。 本次设计的循环彩灯控制器就是用计数器和译码器来实现,本彩灯控制器能实现 四种不同的花型,在呈现出不同花型的同时发出四种不同的声音,数码管用以标 记当前呈现的是哪种花型。关键词:计数器,二极管, 数码管,分频器一设计目的学习EDA开发软件和MAX+plus II的使用方法,熟悉可编程逻辑器件的使用, 通过制作来了解彩灯控制系统。本次课程设计的主要目的是通过电子设计自动化的设计,掌握FPGA应用系统 的开发过程,进一步理解FPGA应用系统的工作原理。本课程设计设计了一个多路 彩灯控制

3、器,四种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即可以 选择不同的节拍。整个系统有三个输入信号,分别为音频输入脉冲信号clk2,复 位清零信号CLR,彩灯输入控制脉冲clkl。最后按照FPGA的开发流程和VHDL语言建 模、仿真、综合、下载、适配,用EDA6000实验箱上的FPGA系统实现了相应的功 能。通过这次课程设计更清楚的理解了 VHDL程序的描述语言,能进行简单程序的 编写和仿真。1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显 示形式;2)随着彩灯显示图案的变化,发出不同的音响声。3)扩充其它功能。三.设计原理3.1 方案论证彩灯设计总体采用分模块的方法来

4、完成,包括分频器、32 进制计数器、4 进制计数器、4 选 1 选择器、彩灯控制器五大部分。其中彩灯控制器是用来控制 8个LED,使其呈现出不同的花型,而彩灯控制器的输出则是由32进制计数器来 控制。扬声器输出不同的响声是通过加不同频率的麻涌信号来实现,不同频率的 信号送至扬声器会发出不同的声音。所以用了一个集成分频器来将输入的频率分 成几种不同的频率。不同频率的选择性输出则是由一个4选一的选择器来实现。在现实不同花形的同时,伴随有不同的声音发出,而且通过数码管现实 1、 2、3、4,标志出所显示的是哪一种花型。数码管的显示是由彩灯控制模块来控 制。3.2 模块设计集成分频器模块设计要求显示不

5、同的彩灯的时候要伴随不同的音乐,所以设计分频器 来用不同的频率控制不同的音乐输出。模块说明:Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出,输入此信号后,彩灯从第一种花型开始顺序循环。Clk:输入信号 此信号即为分频输入的频率信号。Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、 1/6分频输出、 1/8分频输出、 1/10分频输 出。尸已IMP 工工ca Z 1_ GL S wo 1 Kau 1 K_8曰图 3-2-1 集成分频器3.2.2 32 进制计数器模块32 进制模块用来控制彩灯输出

6、模块,即确定彩灯控制器的不同的输出。Rst:输入信号复位信号用来复位32进制使其输出为“00000”。Clk:输入信号用来给模块提供工作频率。Count_out4.0:输出信号 即为32进制计数器的输出。COUNTER 3ac= 1 kc=oum七_匚 4 - - 0 r-1- s +.2S图 3-2-2 32 进制计数器.3 彩灯控制模块 彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。Rst:输入信号 使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input4.0:输入信号 不同的输入使彩灯控制模块有不同的输出即彩灯显 示出不同的花样。Output7.0:输出信号

7、 直接与彩灯相连来控制彩灯。Sm3.0:输出信号 用来控制数码管使其显示 1、2、3、4,以此来标志出 不同的花型。CAIDENGi npi_i 4 OLJ-t PLJ-t C T0sm日0 22图 3-2-3 彩灯控制模块.4 4选 1选择器模块Rst:输入信号 复位信号 使选择器的输出为“0”。Ini、in2、in3、in4:输入信号接分频器的输出。Inp1.0:输入信号 接 4 进制计数器的输出用来控制选择器的选择不同的 输入选择不同的输出。Output:输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放 音乐。图 3-2-4 4 选 1 选择器.5 4 进制计数器模块4 进制计数

8、器作为选择器的输入来控制选择器选择不同的频率作为输出控 制扬声器工作。Clk:输入信号 来为计数器提供工作频率。Rst:输入信号 复位信号 使计数器的输出为“00”。COUMTER-4c=l RL U七七 _ ol七匚 1 0 H2 :图 3-2-5 4 进制计数器3.3 系统结构整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟 来控制一个是控制 32进制计数器即控制彩灯控制模块来实现彩灯的不同输出, 另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音 乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。图 3-3-1系统功能模块四.总结这次的EDA

9、课程设计有一周的时间,在这一周的时间里我们充分合理的安 排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计 中并不是一帆风顺的,我们遇到了一些的问题,例如我们开始时用的文本的方 式用一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越 到很容易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自己都不 知道程序的整体框图是什么,导致后面不能够继续下去,后面我们再一次对我 们这次的设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方 式来完成本次的课题设计,当然最重要的是分析各个模块间的关系。最后我们 采用上面分析的结构框图。最后我们的设计很成功,仿真和硬

10、件测试都是正确 的,实现了我们的设计要求和目的。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课 程所学过的知识,把它运用到了实践当中,并且学到了很多在书本撒和那个所 没有学到的知识,通过查阅相关资料进一步加深了对EDA的了。总的来说,通 过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际 相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相 结合起来,才能提高自己的实际动手能力和独立思考的能力。在我们的共同努力和指导老师的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目 的。参考文献:1 金西,VHDL与复杂数字系统设计,西安,西安电子科

11、技大学出版社,20032 汉泽西,EDA技术及其应用,北京,北京航空航天大学出版社,20043 李广军可编程ASIC设计及应用,电子科技大学出版社, 20054 谢自美,电子线路设计实验测试,华中理工大学出版社20045 谭会生,EDA技术,西安电子电子科技大学出版社,2004年附录一. 编译erra rsCompilerExtractorTlmiingSNFExtractorDatabasEDuilldlcr: - Compiler去 Me-ssagcs - Ccmpil4 Mcssaigr 卜U of 9q L.u.nnrnr附录三.程序分频器模块LIBRARY ieee;USE ieee

12、.std_logic_1164.all;ENTITY fenpinqi ISPORTclk,rst : IN std_logic; clk_10,clk_4,clk_6,clk_8 : OUT std_logic );END fenpinqi;ARCHITECTURE cd OF fenpinqi IS beginp1:process(clk,rst) variable a:integer range 0 to 20; beginif rst=1 thenclk_4=3 thena:=0;clk_4=1;elsea:=a+1;clk_4=0;end if;end if;end if;end p

13、rocess p1; p2:process(clk,rst)variable b:integer range 0 to 20; beginif rst=1 thenclk_6=5 thenb:=0;clk_6=1;elseb:=b+1;clk_6=0;end if;end if;end if;end process p2; p3:process(clk,rst)variable c:integer range 0 to 20; beginif rst=1 thenclk_8=7 then c:=0;clk_8=1;复位信号控制部分复位信号控制部分elsec:=c+1;clk_8=0;end if;end if;end if;end process p3; p4:process(clk,rst)variable d:integer range 0 to 20;beginif rst=1 then复位信号控制部分c

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号