课程设计出租车自动计费器的设计

上传人:cn****1 文档编号:560255505 上传时间:2023-11-09 格式:DOC 页数:24 大小:148.50KB
返回 下载 相关 举报
课程设计出租车自动计费器的设计_第1页
第1页 / 共24页
课程设计出租车自动计费器的设计_第2页
第2页 / 共24页
课程设计出租车自动计费器的设计_第3页
第3页 / 共24页
课程设计出租车自动计费器的设计_第4页
第4页 / 共24页
课程设计出租车自动计费器的设计_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《课程设计出租车自动计费器的设计》由会员分享,可在线阅读,更多相关《课程设计出租车自动计费器的设计(24页珍藏版)》请在金锄头文库上搜索。

1、课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 出租车自动计费器的设计 一、设计目的根据设计要求,完成对出租车自动计费器的设计。进一步加强对Quartus的应用和对VHDL语言的使用。二、设计内容和要求设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费3部分,用4位数码管显示金额、最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元;用两位数码管显示等待时间,最大值为59min。掌握Quartus的操作和使用方法。利用Quartus软件

2、对所设计的电路进行仿真分析。 三、初始条件CPLD,七段数码管,时钟信号,3-8译码器,拨码开关等。四、时间安排1、2008年6月30日集中,作课设具体实施计划与课程设计报告格式的要求说明。2、2008年6月30日至2008年7月3日,查阅相关资料,学习电路的工作原理。3、2008年7月4日至2008年7月9日,电路调试和设计说明书撰写。4、2008年7月10日上交课程设计成果及报告,同时进行答辩。课设答疑地点:鉴主13楼电子科学与技术实验室。指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目 录摘 要1绪 论12设计内容及要求22.1 设计的目的及主要任务2 2.1.1 设计

3、的目的 2 2.1.2 设计任务及主要技术指标22.2 设计思想23 设计原理及单元模块设计33.1设计原理及方法33.2单元模块设计33.2.1分频/计量控制模块33.2.2译码显示模块73.3顶层电路的设计114电路的仿真及分析134.1单元模块的仿真及分析134.1.1分频/计量控制模块134.1.2译码显示模块144.2顶层电路的仿真及分析155硬件调试176 心得体会18参考文献19摘要本文介绍了一种采用单片CPLD芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用CPLD的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器

4、体积更小功能更强大。本设计实现了出租车计费器所需的一些基本功能,计费包括起步价、行车里程计费、等待时间计费,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。主要包括采用了CPLD芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级。关键词:VHDL;计费器;Quartus;CPLDAbstract This paper describes the use of a single chip CPLD for the design of accounting-fee machine, mainly on how to use the emerging ED

5、A electronic devices designed to replace traditional methods, using the programmable CPLD, concise and changing the design Ways to shorten the development cycle, so that taxi accounting-fee machine in a smaller more powerful. The design and implementation of the taxi accounting-fee machine for some

6、basic functions, including billing starting price, driving metered, the waiting time billing, taking into account the special nature of some of the taxi industry, to pay more attention to a number of new Ideas into the design. Mainly including the use of the CPLD chip, the use of VHDL programming, s

7、o as to make it a stronger transplanted, and more conducive to product upgrades. Key words: VHDL, accounting-fee machine , Quartus , CPLD1 绪论人类社会已经进入信息化时代,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度降低的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数万个晶体管;后

8、者的核心就是EDA技术。没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必须对EDA技术提出新要求。EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件1。可编程逻辑器件自20世纪70年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD、FPGA属高密度可编程逻辑器件,目前集成度以高达200万门

9、/片,它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制和小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以和容易地转由ASIC实现,因此开发风险也大为降低。CPLD/FPGA器件已成为现代高层次电子设计方法的实现载体。 VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为3种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。另外,VHDL还有以下优点:VHDL的宽范围描述能力使它成为高层次设计的核心

10、,将设计人员的工作重心转移到了系统功能的实现和调试上,只需要花较少的精力用于物理实现;VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用;VHDL的设计不依赖于特定的器件,方便了工艺的转换。VHDL是一个标准语言,为众多的EDA场上支持,因此移植性好2。2 设计内容及要求2.1设计的目的及主要任务2.1.1设计的目的学会在Quartus环境中运用VHDL语言设计方法构建具有一定逻辑功能的模块,并能运用图形设计方法完成顶层原理图的设计。掌握出租车自动计费器的主要功能与在CPLD中的实现方法。 2.1.2 设计任务及主要技术指标设计一个出租车

11、自动计费器,计费包括起步价、行车里程计费、等待时间计费3部分,用4位数码管显示金额、最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元;用两位数码管显示等待时间,最大值为59min。运用Quartus软件中的仿真功能对所设计的出租车自动计费器的各个模块及顶层电路的功能进行仿真分析。将所设计的整个系统写入CPLD器件中,加上需要的外围电路在实验箱上实现整个系统的硬件搭建。2.2设计思想本次设计首先在Quartus环境中对出租车自动计费器的各个部分利用VHDL这一硬件描述语言予以设计,生成模块

12、。而整个设计的核心部分就在分频/计量模块,该模块完成的功能主要包括计费脉冲的产生,等待计时、计价、计程功能。随后运用Quartus中的仿真功能对其予以仿真,从仿真的结果中分析程序的正确性。待所有模块的功能正确之后,运用原理图搭建顶层电路并进行整体仿真直至达到最初的设计要求,最后再在实验箱上检验设计的正确与否。3 设计原理及单元模块设计3.1 设计原理及方法根据层次化设计理论,该设计问题自顶向下可分为分频模块、控制模块、计量模块、译码动态扫描显示模块,其系统框图如图所示3。图3-1 出租车自动计费器系统框图3.2 单元模块设计3.2.1分频/计量控制模块分频模块对频率为240HZ的输入脉冲进行分

13、频,得到16HZ、10HZ、和1HZ的三种频率。该模块产生频率信号用于计费,每1HZ脉冲为0.1元计费控制,10HZ信号为1元的计费控制,16HZ信号为1.6元计费控制。计量控制模块是出租车计费的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分钟的等待计时始能控制信号en1 、行程3公里外的是能控制信号en0。其中计价功能主要完成的任务是:行程3公里内,且等待累计时间在3分钟内,起步费为8元;3公里以外以每公里1.6元计费。等待时间3分钟以外以每分钟1元计费。计时功能主要完成的任务是:计算乘客的等待累计时间,计时的量程为59分,满量程自动归零。计程功能主要完成的任务是:计算乘客所行驶的公里数,计程器的量程为99公里,满量程自动归零4。根据前面的描述,该电路的核心部分就是分频/计量控制电路,通过VHDL语言的顺序语句IF-THEN-ELSE根据一个或一组条件来选择某一特定的执行通道,生成计费数据、计时数据和里程数据。其VHDL源程序如下。LIBRARY IEEE;USE IEEE.std_logic_1164.all;USE IEEE.std_logic_unsigned.all;USE IEEE.std_logic_arith.all;ENTITY taxi is

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号