EDA课设出租车计费器

上传人:夏** 文档编号:560234689 上传时间:2023-05-08 格式:DOC 页数:11 大小:310KB
返回 下载 相关 举报
EDA课设出租车计费器_第1页
第1页 / 共11页
EDA课设出租车计费器_第2页
第2页 / 共11页
EDA课设出租车计费器_第3页
第3页 / 共11页
EDA课设出租车计费器_第4页
第4页 / 共11页
EDA课设出租车计费器_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《EDA课设出租车计费器》由会员分享,可在线阅读,更多相关《EDA课设出租车计费器(11页珍藏版)》请在金锄头文库上搜索。

1、目录1 引言12 Quartus II 介绍13 出租车计价器设计23.1 出租车计价器的设计原理23.2 各模块功能模块设计33.2.1 分频模块33.2.2 计量模块33.2.3 控制模块33.2.4 计费模块43.2.5 译码显示模块43.2.6 顶层模块43.3 硬件仿真44 总结5参考文献6附录61 引言本设计是一个简易的出租车计费系统,实现计价功能,计费标准为按行程里程收费,起步价为 6.00 元,当里程小于 3 公里时,按照起价计费,车行超过3 公里后在按 1.2 元/公里收费,等待累积时间超过2min,按照每分钟 1.5 元计费。能模拟汽车的启动,停止,暂停等状态。并用LED

2、数码管实时显示车费和汽车行驶里程,用两位数字显示汽车行驶里程, 显示方式为 “XX”,单位为 km。计程范围为 099km,计程分辨率为 1km;用五位数字显示总费用,显示方式为 “XXX.X”,单价为元。计价范围为 0999.9 元,计价分辨率为 0.1 元。此计费器要设有一个由司机控制的整体复位控制。本设计采用 VHDL 硬件描述语言作为设计手段, 采用自顶向下的设计思路, 得到一种出租车计价系统的软件结构,通过Quartus软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求。本次课程设计可以熟练掌握了课本上的一些理论知识,课程设计也是一个学习新知识、巩固加深

3、所学课本理论知识的过程,它培养了我们综合运用知识的能力,独立思考和解决问题的能力。加深我们对 VHDL 数字电路设计教程的理解。2 Quartus II介绍Quartus II 是 Altera 公司的综合性 PLD 开发软件,支持原理图、VHDL 、VerilogHDL 以及 AHDL (Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用Tcl 脚本完成设计流程外,提供了完善的用户图形界面

4、设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II 支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外, Quartus II 通过和 DSP Builder 工具和 Matlab/Simulink 相结合,可以方便地实现各种 DSP 使用系统;支持 Altera 的片上可编程系统( SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的

5、开发平台。 Altera Quartus II 作为一种可编程逻辑的设计环境 , 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera 的 Quartus II 可编程逻辑软件属于第四代PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet 的协作设计。 Quartus 平台和Cadence、 ExemplarLogic、MentorGraphics、Synopsys和 Synplicity 等 EDA 供应商的开发工具相兼容。改进了软件的 LogicLock 模块设计功能,增添 了 FastFit 编译选项,推进了网络编辑性能,

6、而且提升了调试能力。支持 MAX7000/MAX3000 等乘积项器件3 出租车计价器设计本设计是一种采用 FPGA 芯片进行出租车计费器,主要分为分频模块、计量模块、计费模块、控制模块等模块, 利用 FPGA 的可编程性, 简洁又多变的设计方法,缩短了研发周期。 主要采用了 FPGA 芯片,使用 VHDL 语言进行编程, 使其具有了更强的移植性,更加利于产品的升级。3.1 出租车计价器的设计原理根据设计要求,系统的输入信号 clk ,计价开始信号 Start,等待信号 Stop,里程脉冲信号 fin 。系统得输出信号有:总费用数 cha0 cha3,行驶距离 km0 km1,等待时间 min

7、0 min1 等。系统有两个脉冲输入信号 clk_120、fin ,其中 clk_120 将根据设计要求分频成 12Hz、15Hz 和 1Hz 分别作为公里计费和超时计费的脉冲。两个控制输入开关 start、 stop;控制过程为: start 作为计费开始开关,当 start 为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin 脉冲到来,进行行驶计费,此时的stop 需要置为 0;如需停车等待,就把stop 变为高电平,并去除 fin 输入脉冲,进行等待计费;当乘客下车且不等待时,将 stop 和 fin 同时置为 0,来读取最终的行驶路程数、等待时间和最后的总费用,直

8、接将 start 置为 0,系统停止工作;价格开始归为起步价 6.00 元。整个设计由分频模块、计量模块、计费模块、控制模块等四个部分组成。其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费;同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用 1Hz 的驱动信号,计费模块采用 12Hz、15Hz 的驱动信号;计量模块每计数一次,计费模块就实现 12 次或者 15 次计数,即为实现计时的 1.5 元/min、计程时的 1.2 元 /km 的收费。组成框图如图 2-1 所示:时

9、钟信号分频器计费等待信号显示计时公里脉冲控制器计费 /复位计程图 3-1 系统结构框图3.2 各模块功能模块设计3.2.1 分频模块由于试验箱上没有12Hz 和 15Hz 的整数倍时钟信号,因此我们采用频率较大的750khz 进行分频,以近似得到 12Hz、15Hz 和 1Hz 的时钟频率。本设计中通过以上三种不同频率的脉冲信号实现在计程车在行驶、等待两种情况下的不同计费。分频模块元件如图 2-2 所示:3.2.2 计量模块计量模块主要完成计时和计程功能。计时部分: 计算乘客的等待累积时间,当等待时间大于时,本模块中en1使能信号变为1;当 clk159min,满量程后自动归零。计程部分:计算

10、乘客所行驶的公里数,当行驶里程大于3km时,本模块中en0使能信号变为1;当clk1每来一个上升沿,计程器就自增1,计程器的量程为99km,满量程后自动归零。Start 置 1 程序始终处于计费状态,当 fin 脉冲到来时 k0 和 k1 进入计程状态,且 k0 每次满 9 就向 k1 进位,超过 3km 时 en0 就变为高电平;同理,当 stop 由 0 置为 1 时开始由计程进入等待状态, fin 脉冲归为 0 里程计数停止, 等待时间开始计时, m0 每次满 9 就向 m1 进位,超过 2min 时 en1 就变为高电平。3.2.3 控制模块本模块主要是通过计量模块产生的两个不同的输入

11、使能信号en0、en1,对两个分频模块输出的12Hz、15Hz 的脉冲进行选择输出的过程;本模块实现了双脉冲的二选一;最终目的为了计费模块中对行驶过程中不同的时段进行计价。3.2.4 计费模块当计费信号 Start 一直处于高电平即计费状态时, 本模块根据控制模块选择出的信号从而对不同单价的时段进行计费。即行程在3km 内,而且等待累积时间小于2min 则为起步价 6 元;3km 以外每公里按 1.2 元计费,等待时间超过 2min,则按每分钟 1.5 元计费。 c0、 c1、c2、 c3 分别表示费用的显示。由图可知当处于计费状态时,随着 clk2 的高电平的到来,计费起步价为 6 元。 c

12、0 满 9 向 c1 进位, c1 满 9 向 c2 产生进位,依次逐级进位从而完成计费功能。3.2.5 译码显示模块译码显示模块完成计价、计时和计程数据显示。计费数据送入译码显示模块进行译码,最后送至以百元、十元、元、角为单位对应的数码管上显示。计时数据送入译码显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入译码显示模块进行译码,最后送至以 km 为单位的数码管上显示。由于本次课程设计所用实验箱上自带数码管译码显示电路,因此不需再写译码程序,选定模式直接管脚锁定在对用的数码管上即可实现。3.2.6 顶层模块本模块用图形输入法实现出租车的计费器系统设计,将各个模块组合在一起

13、。程序最终功能实现仿真波形如图 2-11 所示当行驶里程超过三公里,则再按每公里为高电平,即进入等待计时收费;当等待时间超过为电平时所有数值清零,只显示初始值stop分钟,行驶里程为*1.2+(9-2)*1.5=36.9 元。仿真结果于计算结果相同,所以仿真结果正确。3.3在 QuartusII 软件中仿真成功, 现在下载到试验箱上以验证其功能。 实验中采用万用模式模式五,程序中各个端口锁定到试验箱上对应的管脚下载验证。最后得数码管显示计时、计程和计费数据如图 2-13 所示:图 3-4 硬件仿真结果由上可知等待时间为 4 分钟,行驶公里数为 4 公里。根据设计要求计算所得费用为: 6 元+(

14、4km-3km)*1.2 元/km+(4min-2min)*1.5 元 /min=6+1.2+3=10.2 元,和试验箱上所得结果相同,仿真下载成功。4 总结通过为期 1 周的课程设计,出租车计价器系统的设计已基本完成,能按预期的效果模拟汽车启动、等待、停止、复位等功能,并显示车费数目等待时间及行驶路程。出租车计费系统的设计中体现了VHDL 覆盖面广,描述能力强, 是一个多层次的硬件描述语言及CPLD 器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。通过不断的编写和尝试,遇到问题,讨论问题,解决问题,使我对VHDL 数字电路设计教程这门学科有了更系统的认识。在这次课程设计中我熟练地掌握了VHDL

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号