EDA课程设计--出租车计费器的设计

上传人:夏** 文档编号:560231976 上传时间:2022-10-01 格式:DOC 页数:18 大小:73.50KB
返回 下载 相关 举报
EDA课程设计--出租车计费器的设计_第1页
第1页 / 共18页
EDA课程设计--出租车计费器的设计_第2页
第2页 / 共18页
EDA课程设计--出租车计费器的设计_第3页
第3页 / 共18页
EDA课程设计--出租车计费器的设计_第4页
第4页 / 共18页
EDA课程设计--出租车计费器的设计_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《EDA课程设计--出租车计费器的设计》由会员分享,可在线阅读,更多相关《EDA课程设计--出租车计费器的设计(18页珍藏版)》请在金锄头文库上搜索。

1、课 程 设 计 报 告课程名称 数字系统与逻辑设计 课题名称出租车计费器的设计专 业班 级学 号姓 名指导教师2013年 7月 7日湖南工程学院课 程 设 计 任 务 书课程名称数字系统与逻辑设计 课 题出租车计费器的设计专业班级 学生姓名 学 号 指导老师 乔汇东 胡瑛 谭小兰审 批 乔汇东任务书下达日期 2013 年 6月 29日任务完成日期 2013年 7月 7日数字系统与逻辑设计课程设计任务书一 、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提

2、高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。二、设计要求1、设计正确,方案合理。2、程序精炼,结构清晰。3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。4、上机演示。5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。三、进度安排第十九周 星期一: 课题讲解,查阅资料 星期二: 总体设计,详细设计星期三: 编程,上机调试、修改程序星期四: 上机调试、完善程序星期五: 答辩星期六-星期天:撰写课程设计报告附:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。

3、正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。目 录一. 系统的总体设计11.1 问题描述11.2 设计思想11.3 引脚分配1二出租车计费功能的实现22.1 系统的总体框图22.2 程序流程图32.3 系统各功能模块的实现4四. 调试与仿真8五. 总结与体会9六. 附件10七. 评分表15一. 系统的总体设计1.1 问题描述出租车计费器一般都是按公里计费,

4、通常是起步价xx 元(xx 元可以行走x公里),然后再是xx 元/公里。要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。 (有条件的同学再完成:显示用8 个七段码管,前四个显示里程,后四个显示费用。)1.2 设计思想该出租车计费器按下开关S1后开始计费和计里程数,起步价是3元,准行1公里,以后1元/公里。时钟输入为一个1KHz的系

5、统时钟,直流电机模块每转一圈输出一个脉冲信号给CPU,另外用按键模块的S1 来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机模块用来模拟出租车的车轮子,每转动一圈认为是行走1 米,所以每旋转1000 圈,认为车子前进1 公里。系统设计是需要检测电机的转动情况,每转1000圈,分频模块输出一个上升沿信号。车费和里程数在一个8位7段数码管上显示,前4位显示里程,后4位显示费用。1.3 引脚分配显示:动态八位七段数码管模块动态八位七段数码管模块数码管端口名称FPGA 引脚说明7SEG-AC8显示内容控制7SEG-BC9显示内容控制7SEG-CC7显示内容控制7SEG-DC6显示内容

6、控制7SEG-ED6显示内容控制7SEG-FD9显示内容控制7SEG-GD8显示内容控制7SEG-DPD7显示内容控制7SEG-SEL0A21显示位置控制7SEG-SEL1B21显示位置控制7SEG-SEL2A22显示位置控制7SEG-SEL3D5显示位置控制7SEG-SEL4C4显示位置控制7SEG-SEL5B22显示位置控制7SEG-SEL6A19显示位置控制7SEG-SEL7B19显示位置控制时钟:ClkN1直流电机脉冲输入:pulseAE13一圈一个脉冲复位按键RSTJ7按键S1二出租车计费功能的实现2.1 系统的总体框图该出租车计费系统由三个模块组成,分别是:计费模块:计算应付车费计

7、程模块:计录已行里程显示模块:将应付车费和已行里程显示在数码管上各模块之间的关系为:2 程序流程图计算路程框图: q1=0;q2=0; q3=0;q4=0;S1=1meterevent and meter=1money_cn3money_cn1代表的4位十进制数加1meter信号发生变化图2 计算路程框图计算出租车计费框图:a1=0;a2=0;a3=0;a4=3;S1=1q1=q1+1A1,a2,a3,a4代表的4位十进制数加1q1信号发生变化图3 计费框图2.3 系统各功能模块的实现计算距离模块:REG:PROCESS(s1,meter) beginif s1=1 then q1=0;q2=

8、0;q3=0;q4=0;elsif meterevent and meter=1 then -clkevent and clk=1 thenif q4=9 thenq4=0;if q3=9 thenq3=0;if q2=9 thenq2=0;q1=q1+1;elseq2=q2+1;end if;elseq3=q3+1; end if;elseq4=q4+1;end if;end if;-end if;end process REG;计费距离模块:COM:process( q1) beginif q17 thena4=q1+3;else if q1=7 thena4=0;if a3=9 then

9、a3=0;if a2=9 thena2=0;a1=a1+1;elsea2=a2+1;end if;elsea3=a3+1;end if;else if q1=8 thena4=1;if a3=9 then a3=0;if a2=9 thena2=0;a1=a1+1;elsea2=a2+1;end if;elsea3=a3+1;end if;else if q1=9 thena4=2;if a3=9 then a3=0;if a2=9 thena2=0;a1=a1+1;elsea2=a2+1;end if;elsea3=a3+1;end if;end if;end if;end if;end i

10、f;end process COM;显示模块:CAM:process(clk)beginif clkevent and clk=1 then cout wx=10000000;shuju wx=01000000;shuju wx=00100000;shuju wx=00010000;shuju wx=00001000;shuju wx=00000100;shuju wx=00000010;shuju wx=00000001;shujuduanduanduanduanduanduanduanduanduanduannull; end case;end process; 四. 调试与仿真在调试过程中,出现了很多的问题,在编译时出现同时赋值的现象:图4 同时赋值现象在程序使用软件仿真时,出现了问题;开始使用10进制数进行仿真时由于在计费时,开始不适应复位信号,就会出现几公里几块钱,一但经过复位之后程序使用就正常了,这是有与我在使用时没有复位时,不给初值一样,后来经过修改之后就正常了。图5 计费仿真图6 距离仿真图7 出租车计费仿真五. 总结与体会通过这次紧张而又充实的EDA课程设计,我感受到了VHDL语言和普通软件语言之间的区别,也能够更加

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号