vhdl程序:基于VHDl的洗衣机控制 器主程序.doc

上传人:m**** 文档编号:559780388 上传时间:2023-06-21 格式:DOC 页数:21 大小:61KB
返回 下载 相关 举报
vhdl程序:基于VHDl的洗衣机控制 器主程序.doc_第1页
第1页 / 共21页
vhdl程序:基于VHDl的洗衣机控制 器主程序.doc_第2页
第2页 / 共21页
vhdl程序:基于VHDl的洗衣机控制 器主程序.doc_第3页
第3页 / 共21页
vhdl程序:基于VHDl的洗衣机控制 器主程序.doc_第4页
第4页 / 共21页
vhdl程序:基于VHDl的洗衣机控制 器主程序.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《vhdl程序:基于VHDl的洗衣机控制 器主程序.doc》由会员分享,可在线阅读,更多相关《vhdl程序:基于VHDl的洗衣机控制 器主程序.doc(21页珍藏版)》请在金锄头文库上搜索。

1、vhdl程序:基于VHDl的洗衣机控制 器主程序1.运行的时候运行xijiyi.vhd即可,其它10个小模块功能独立: 蜂鸣器,控制设置,洗衣机盖子,洗衣机盖子设置,电机马达,模式板块,所有模式,盖子揭开后 中断,开始暂停.2.这个程序是模块化的。另外程序是单个进程的,所有要求的功能模块都写在里面,看起来会比较容易理解,运行起来也是对的。3.版权所有:Jonathan He.2009 ieee;use ieee.std_logic_1164.all;USE ieee.std_logic_signed.all;USE ieee.std_logic_arith.all;entity buzzer

2、_set is -蜂鸣器port( clk:IN std_logic; p:in std_logic; control:in std_logic_vector(2 downto 0); flag:in std_logic; buzzer:out std_logic:=0 );end buzzer_set;architecture arch_buzzer of buzzer_set issignal q:std_logic_vector(4 downto 0):=00000;beginprocess(clk)beginif clkevent and clk=1 then if p=1 and c

3、ontrol=110 and flag=1 then if q=11110 then q=11110 ;buzzer=0; else q=q+1;buzzer=1; end if; else buzzer=0; end if;end if;end process;end arch_buzzer;2 文件名:controlset.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; ENTITY controlset IS port( cl

4、k:in std_logic; ps:in std_logic; modeout_a:in std_logic_vector(1 downto 0);-输入的强、标准、弱的信号; modeout_b:in std_logic_vector(2 downto 0);-洗、漂、甩的各种组合 led_qiang:out std_logic:=0; led_biaozhun:out std_logic:=0; led_ruo:out std_logic:=0; led_xi:out std_logic:=0; led_piao:out std_logic:=0; led_shuai:out std_l

5、ogic:=0; control:out std_logic_vector(2 downto 0) ); end;architecture arc_control of controlset is -根据模式的设定,送出电机的运转时间控制信号;signal qxtime:std_logic_vector(10 downto 0):=10000111000;-强洗-1080秒;signal bzhxtime:std_logic_vector(10 downto 0):=01101001000;-标准洗-840秒signal rxtime:std_logic_vector(10 downto 0)

6、:=01001011000;-弱洗-600秒;signal qptime:std_logic_vector(10 downto 0):=01101001000;-强漂-840秒;signal bzhptime:std_logic_vector(10 downto 0):=00111100000;-标准漂-480秒signal rptime:std_logic_vector(10 downto 0):=00101101000;-弱漂-360秒signal shuaitime:std_logic_vector(10 downto 0):=00011110000;-甩-时间240秒signal mo

7、deout_c:std_logic_vector(4 downto 0);signal q_x_p_time:std_logic_vector(10 downto 0):=11110000000; -强+洗+漂 一共1920秒signal q_x_sh_time:std_logic_vector(10 downto 0):=10100101000; -强+洗+甩一共1320秒signal q_p_sh_time:std_logic_vector(10 downto 0):=10000111000; -强+漂+甩一共1080秒signal q_x_p_sh_time:std_logic_vect

8、or(11 downto 0):=100001110000; -强+洗+漂+甩一共2160秒signal b_x_p_time:std_logic_vector(10 downto 0):=10100101000; -标+洗+漂 一共1320秒signal b_x_sh_time:std_logic_vector(10 downto 0):=10000111000; -标+洗+甩一共1080秒signal b_p_sh_time:std_logic_vector(10 downto 0):=01011010000; -标+漂+甩一共720秒signal b_x_p_sh_time:std_lo

9、gic_vector(10 downto 0):=11000011000; -标+洗+漂+甩一共1560秒 signal r_x_p_time:std_logic_vector(9 downto 0):=1111000000; -弱+洗+漂 一共960秒signal r_x_sh_time:std_logic_vector(9 downto 0):=1101001000; -弱+洗+甩一共840秒signal r_p_sh_time:std_logic_vector(9 downto 0):=1001011000; -弱+漂+甩一共600秒signal r_x_p_sh_time:std_lo

10、gic_vector(10 downto 0):=10010110000; -弱+洗+漂+甩一共1200秒signal control_set1:std_logic_vector(2 downto 0):=000;signal control_set2:std_logic_vector(2 downto 0):=000;signal control_set3:std_logic_vector(2 downto 0):=000;signal control_set4:std_logic_vector(2 downto 0):=000;signal control_set5:std_logic_v

11、ector(2 downto 0):=000;signal control_set6:std_logic_vector(2 downto 0):=000;signal control_set7:std_logic_vector(2 downto 0):=000;signal control_set8:std_logic_vector(2 downto 0):=000;signal control_set9:std_logic_vector(2 downto 0):=000;signal control_set10:std_logic_vector(2 downto 0):=000;signal

12、 control_set11:std_logic_vector(2 downto 0):=000;signal control_set12:std_logic_vector(2 downto 0):=000;signal control_set13:std_logic_vector(2 downto 0):=000;signal control_set14:std_logic_vector(2 downto 0):=000;signal control_set15:std_logic_vector(2 downto 0):=000;signal control_set16:std_logic_

13、vector(2 downto 0):=000;signal control_set17:std_logic_vector(2 downto 0):=000;signal control_set18:std_logic_vector(2 downto 0):=000;signal control_set19:std_logic_vector(2 downto 0):=000;signal control_set20:std_logic_vector(2 downto 0):=000;signal control_set21:std_logic_vector(2 downto 0):=000;begin process(clk) is begin modeout_c=(modeout_a & modeout_b); if(clkevent and clk=1) then if

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号