交通灯控制电路设计.doc

上传人:壹****1 文档编号:559293692 上传时间:2023-08-05 格式:DOC 页数:4 大小:32.51KB
返回 下载 相关 举报
交通灯控制电路设计.doc_第1页
第1页 / 共4页
交通灯控制电路设计.doc_第2页
第2页 / 共4页
交通灯控制电路设计.doc_第3页
第3页 / 共4页
交通灯控制电路设计.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《交通灯控制电路设计.doc》由会员分享,可在线阅读,更多相关《交通灯控制电路设计.doc(4页珍藏版)》请在金锄头文库上搜索。

1、摘 要根据8051单片机的特点及交通灯在实际控制中的特点,本文提出一种用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤,对在单片机应用中可能遇到的重要技术问题都有涉足。本文对十字路口状态预设为两种,一种是正常状态,另一种是故障或紧急状态,并分别用黄、红、绿色灯的不同组合来表示。本文介绍了控制基本原理以及控制的表现,同时也介绍了城市交通信息系统的设计目标, 开发途径及其系统结构与功能和数据地理编码、建库, 同时, 论述了系统中交通现状、交通管理、交通规划及背景信息查询模块的建造及应用。介绍了用于城市交叉路口的三色程控交通信号时间显示器的

2、研制方案,对其电源供电、发光二极管构成的负载结构、灯色时间检测都给出了精巧合理的优化结构,大幅度地提高了产品可靠性并降低了制造成本。关键词:8051单片机;交通灯;自动控制;时间显示器;软件;硬件;定时器;延时;外部中断交通灯控制电路设计悬赏分:35 - 解决时间:2008-1-23 20:521 任务与要求 设计一个十字路口的红、绿、黄三色信号交通灯控制电路,具体要求如下: 1)用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2)由于主干道车辆较多而支

3、干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50s,支干道每次放行30s。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 3)能实现正常的、即时显示功能,用实验箱上的4个七段数码管作为到计时显示器,分别显示东西、南北方向的红灯、绿灯、黄灯时间。 4)能实现特殊状态的功能显示,设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能: (1) 显示器闪烁; (2) 计数器停止计数并保持

4、在原来的数据; (3) 东西、南北路口均显示红灯状态; (4) 特殊状态结束后,能继续对时间进行计数。 5)能实现控制器总清零功能 按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。 2根据设计任务与要求,画出设计总电路图,写出设计报告 谢谢用单片机51或西门子S7 200来完成提问者: 骏骏牛肉粉 - 试用期 一级 最佳答案本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUS环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。 关键词:E

5、DA;VHDL;控制器;CPLD 引言 EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUS集成开发环境进行综合、仿真,并

6、下载到CPLD可编程逻辑器件中,完成系统的控制作用。 交通灯系统控制器设计要求 路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 按照路口交通运行的实际情况,在本系统中,设定系统的工作情况如下。 路口交通灯控制系统的东西路有交通灯R(红)、Y(黄)、G(绿);东西人行安全通道灯:RXR(红)、RXG(绿)。南北路有交通灯:r1(红)、y1(黄)、g1(绿);南北人行安全通道灯:rxr1(红)、rxg1(绿),所有灯均为高电平点亮。设置15

7、s的通行时间和5s转换时间的变模定时电路,由预置输入整数cnt决定是模15还是模5,输入逻辑cx是用来决定计数到4时清零还是到14时清零。Clk是外部提供的基准秒脉冲信号。x0、x1、x2、x3是由控制器输出的表示计数时间的四位二进制数。图1是该系统控制器的符号框图。 控制器的程序设计 * 控制器的ASM图 根据系统设计要求,得到控制器的ASM图,如图2所示。在这里,所有输入信号均为高电平有效。该ASM图反映了交通灯系统的不同状态的转换过程及持续时间。 * 控制器的VHDL程序设计 根据所分析的系统的ASM图,结合系统的设计要求,用VHDL语言对各个模块进行编程,最后形成顶层文件,在MAX+P

8、LUS环境下进行编译与仿真,检查所编程序是否运行正确。如果出现错误,需要进行修改,直到完全通过为止。需要说明的是,在进行程序编译时,要先从底层程序开始,所有底层程序都正确后,才能开始顶层程序的编译。这是因为顶层程序是对底层程序的概括,它是把底层程序各个模块连接起来,就相当于把每个模块的功能汇聚到一起,实现整个系统的控制功能,所以底层程序的正确与否,关系到顶层程序的运行结果。 在控制器的程序设计中,在定义结构体时,有两种程序设计方法均可以通过编译及仿真,但在进行时序分析时结果却不同。 (1)如果这样定义: . ARCHITECTURE con1_arc of con1 IS SIGNAL cur

9、rent_state:state; BEGIN . 在进行程序调试时,均通过了编译及仿真,但在进行时序分析中,却出现了不按设定的计数顺序工作的结果:14, 13, 2,1, 0.。经过反复修改调试,对程序进行了修改,如(2)所定义的。 (2) ARCHITECYTURE con1_arc OF con1 IS SIGNAL current_state:state; SIGNAL TEMP_STATE:state; . TEMP STATE=current_state; BEGIN . 在这种设计方法中,多定义了一个信号变量,从而使得程序能按设定的状态14,13,12.进行转换。通过这个实例,可以看出EDA技术作为电子设计工具的功能修改及调试的方便快捷,即不需要硬件电路的支持就可以找到问题所在并进行修改,体现了它的优越性。 硬件电路实现 根据交通灯系统的控制要求,图3所示为本系统的硬件电路图。该电路包含了1个CPLD芯片,2个七段LED数码显示器,20个分别表示各个方向上的红、黄、绿灯,以及相应的限流电阻。这个电路与其他控制方法相比,所用器件可以说是比较简单经济的。经过实验,实现了预定的交通灯系统的控制功能。 或单片机,PLC教材上有实例

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号