Quartus II 软件操作实验报告

上传人:hs****ma 文档编号:558308951 上传时间:2022-08-16 格式:DOCX 页数:6 大小:271.88KB
返回 下载 相关 举报
Quartus II 软件操作实验报告_第1页
第1页 / 共6页
Quartus II 软件操作实验报告_第2页
第2页 / 共6页
Quartus II 软件操作实验报告_第3页
第3页 / 共6页
Quartus II 软件操作实验报告_第4页
第4页 / 共6页
Quartus II 软件操作实验报告_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《Quartus II 软件操作实验报告》由会员分享,可在线阅读,更多相关《Quartus II 软件操作实验报告(6页珍藏版)》请在金锄头文库上搜索。

1、实验题目:Quartus II软件操作一、实验目的(1) 了解并掌握Quartusll软件图形输入的使用方法。(2) 了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。二、实验内容及步骤1. 实验内容:本实验通过简单的例子介绍FPGA开发软件Quartusll的使用流程, 包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。2. 实验步骤:在Quartusll中通过原理图的方法,使用与门和异或门实现半加器。原理图第1步:打开Quartusll软件,新建一个空项目。 选择菜单 File-New Project Wizard,进入新建项目向导,填入项目的名 称 “hadder”。第

2、2步:单击Next按钮,进入向导的下一页进行项目内文件的添 加操作,或直接点击Next按钮。第3步:选择CPLD/FPGA器件,选择芯片系列为“MAX II”,型号 为“EPM240T100C5”。向导的后面几步不做更改,直接点击Next即 可,最后点击Finish结束向导。第 4 步:新建一个图形文件。选择 File-New 命令,选择 “Diagram/SchematicFile”,点击OK按钮完成。将该图形文件另 存为 hadder.bdf。第5步:在图形编辑窗口的空白处双击,打开符号库窗口。选择好 需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单 击左键即在窗口内放置该符号

3、。分别放置与门“ 7408 ”和异或门“ xor ”。第6步:在编辑窗口中放入两个输入符号,命名为a和bo放置2 个输出“output”符号,并分别命名为s、couto将各符号连接起来。第7步:保存图形文件,进行语法检查和编译。在信息(Messages) 窗口中显示检查结果。第8步:仿真。执行File-New命令,选择“Other Files”选项 页中Vector Waveform File,并单击OK按钮,打开矢量波形编辑器 窗口。另存矢量波形文件为hadder.vwf。第9步:选择所有引脚,单击按钮,将所有引脚添加到Selected Nodes框中,再按OK按钮返回波形编辑器窗口。第10

4、步:编辑a和b的输入波形,再由仿真器输出y的波形。第11步:功能仿真。选择Processing-Simulator Tool。选择 仿真模式(Simula tor mode )为 “Func ti onal”,并选择 hadder.vwf 文件作为仿真输入(Simulation input)波形文件。点击Generate Func ti onal Simula tion Net list按钮,生成仿真网表。然后点击St ar t按钮,开始仿真。第12步:引脚分配。在仿真正确,并锁定自定引脚后,对项目再 次编译。第13步:时序仿真。第14步:程序下载。1)用USB连接线连接DE2和电脑,选择To

5、ols-Programmer命令, 打开配置窗口。2)显示“No Hardware”,说明未指定硬件设备,单击Hardware Setup 按钮,打开硬件设置窗口。双击列表框中的USB-Blaster,然后点击 Close按钮,完成硬件设置。3)硬件已经设置完成,而且待配置的文件也已经在文件列表中。然 后选中Program/Config选项,单击St art按钮,开始编程。三、实验结果功能仿真输出波形图:时序仿真波形图:Jd HE灯亮灭图:四、实验中遇到的问题以及解决办法本次实验大致和书上的步骤没有多大区别,基本没有多大问题,唯 有的问题就是计算机软件上的名称和书上步骤上的名称有一些出入, 多多尝试就可以解决,总体来说学会基本操作了。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号