本科毕业论文---数字频率计设计.doc

上传人:s9****2 文档编号:558295042 上传时间:2023-02-23 格式:DOC 页数:35 大小:441KB
返回 下载 相关 举报
本科毕业论文---数字频率计设计.doc_第1页
第1页 / 共35页
本科毕业论文---数字频率计设计.doc_第2页
第2页 / 共35页
本科毕业论文---数字频率计设计.doc_第3页
第3页 / 共35页
本科毕业论文---数字频率计设计.doc_第4页
第4页 / 共35页
本科毕业论文---数字频率计设计.doc_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《本科毕业论文---数字频率计设计.doc》由会员分享,可在线阅读,更多相关《本科毕业论文---数字频率计设计.doc(35页珍藏版)》请在金锄头文库上搜索。

1、常州信息职业技术学院电子与电气工程学院 毕业设计论文江苏财经职业技术学院学生毕业设计(论文)报告系 别: 机械与电子工程系 专 业: 电子信息 班 号: 10电信 学 生 姓 名: 王肖肖 学 生 学 号: 1011202130 设计(论文)题目: 数字频率计设计 指 导 教 师: 丁琳 设 计 地 点: 江苏财经职业技术学院 起 迄 日 期: 2010.09.062012.11.23 毕业设计(论文)任务书专业 电子信息 班级 10电信 姓名 王肖肖 一、课题名称: 数字频率计设计 二、主要技术指标:1、频率范围在0Hz999999Hz。 2、用六个数码管显示,采用8段译码器。 3、不用显示

2、计数的过程,只要显示最终的结果。 4、设有Hz与KHz两档。 5、结果用十进制数显示。 三、工作内容和要求:1、以EDA 工具为开发平台,利用VHDL硬件描述语言,采用自顶向下和基于库的设计 ,这样不但可以不必了解硬件结构的设计,从而还能使系统大大地简化,并提高了整体的性能和可靠性。 2、用VHDL在CPLD器件上实现一种数字频率计测频系统,能够用十进制数码管显示被测信号的频率,这样不仅能够测量频率,还可以测量其他的物理量,具有体积小、可靠性高和功耗低的特点。 四、主要参考文献: 1、EDA技术与项目训练 2、电子测量技术 3、蒋焕文,孙续,电子测量(第二版),中国计量出版社(中) 学 生(签

3、名) 年 月 日 指 导 教师(签名) 年 月 日 教研室主任(签名) 年 月 日 系 主 任(签名) 年 月 日毕业设计(论文)开题报告设计(论文)题目数字频率计设计一、 选题的背景和意义: 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。数字式频率计的测量原理有两类:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。本设计中使用的就是直接测频法。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、

4、可靠性差。随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。二、 课题研究的主要内容:1、 制作一个由EDA技术产生的从0Hz999999Hz的数字频率计,并将所需得到的频率通过数码管显示出来。2、 数字频率计主要有5个模块来组成:频率控制模块、十进制计数器模块、锁存模块、译码模块和系统模块。三、 主要研究(设计)方法论述:1、首先通过频率控制模块,将clk信号分频再取反给锁存和计数两个使能端。 2、其次通过十进制计数器模块实现对输入信号周期的计数。 3、然后通过锁存模块实现对计数器结果的锁存,并将

5、其送入译码模块。 4、再通过译码模块实现对计数结果的译码,让其直观地显示于数码管上。 5、再然后通过系统模块来实现对各模块功能的整合,并实现整个系统的功能。6、最后修改并最终完成论文设计。四、设计(论文)进度安排:时间(迄止日期)工 作 内 容2011.9.6-2011.9.9查阅相关资料,确定设计题目;2011.9.10-2011.9.23明确设计任务,填写开题报告,拟定初步方案;2011.9.24-2011.10.2了解相关引脚的功能和硬件系统总体方案的初步设计;2011.10.20-2011.10.24完成中期检查表;2011.10.25-2011.10.31查阅资料,撰写初稿2011.

6、11.1-2011.11.7完成初稿,交给指导老师审核;2011.11.8-2011.11.13修改完善毕业设计;2011.11.14-2011.11.19定稿,完成设计;五、指导教师意见: 指导教师签名: 年 月 日六、系部意见: 系主任签名: 年 月 日数字频率计设计目录摘要Abstract第1章 前言.1第2章 EDA的发展历程及其应用.22.1 电子设计自动化发展概述.22.1.1 什么是电子设计自动化.22.1.2 EDA的发展阶段及特点.22.1.3 EDA的应用.32.2 基于EDA的FPGA/CPLD的开发.32.2.1 FPGA/CPLD的介绍.32.2.2 基于EDA工具的

7、FPGA/CPLD开发流程.42.2.3 用FPGA/CPLD开发的优缺点.42.3 FPGA设计流程.52.4 VHDL语言.62.5 VHDL的特点.72.6基于VHDL的自顶向下设计方法.82.6.1自顶向下设计的步骤.82.6.2 Top-down设计方法的优点.82.7 MAX+PLUS II 介绍.8第3章 设计总体方案.10第4章 数字频率计的设计原理.114.1 频率计测量频率的原理.114.1.1 频率计测量频率的原理图包含的模块.114.1.2 频率计测量频率的原理图.114.2 频率计测量周期的原理.114.2.1 频率计测量周期的原理图包含的模块.114.2.2 频率计

8、测量周期的原理图.12第5章 数字频率计的设计.135.1 数字频率计的设计背景135.2 数字频率计的设计原理135.2.1 直接测频法.135.2.2 多周期同步测频法.135.3 频率计发展现状145.4 数字频率计的设计要求145.5六位数字频率计原理.155.6 数字频率计设计方法.155.7 数字频率计原理框图.15第6章 系统方案论证与模块划分.16第7章 系统单元电路设计及工作原理.177.1 1000分频模块和动态扫描模块.177.2 频率控制模块.177.3 十进制计数器模块.177.4 锁存模块.177.5 译码模块.177.6 六选一模块.17第8章 各模块程序的设计.188.1频率控制模块的程序(CT1.VHD)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号