毕业论文(设计)--出租车计价系统设计.doc

上传人:壹****1 文档编号:558027426 上传时间:2023-02-19 格式:DOC 页数:38 大小:347KB
返回 下载 相关 举报
毕业论文(设计)--出租车计价系统设计.doc_第1页
第1页 / 共38页
毕业论文(设计)--出租车计价系统设计.doc_第2页
第2页 / 共38页
毕业论文(设计)--出租车计价系统设计.doc_第3页
第3页 / 共38页
毕业论文(设计)--出租车计价系统设计.doc_第4页
第4页 / 共38页
毕业论文(设计)--出租车计价系统设计.doc_第5页
第5页 / 共38页
点击查看更多>>
资源描述

《毕业论文(设计)--出租车计价系统设计.doc》由会员分享,可在线阅读,更多相关《毕业论文(设计)--出租车计价系统设计.doc(38页珍藏版)》请在金锄头文库上搜索。

1、摘要出租车计费系统的设计摘要本设计为一个多功能出租车计费系统,能够实现各种计费功能以及预置起步费,每千米收费,通过动态扫描电路,将车费和路程显示出来。本设计主要研究在EDA工具软件平台上,利用硬件描述语言VHDL语言设计,以Quartus II软件作为开发平台,采用自顶向下的设计方法,由各个基本模块一起构建了一个基于FPGA的出租车计费系统。系统主芯片采用ALTERA公司的EP1K100QC208-3。系统由分频模块,里程计数模块,计费模块及动态扫描模块组成。通过对所设计的程序进行编译及仿真,然后下载到FPGA/CPLD芯片上进行验证,结果显示本系统能够完成各种计费功能将车费和路程显示出来,同

2、时能够准确的模拟汽车启动,停止,暂停状态。关键词:计费系统;硬件描述语言;FPGA;显示IAbstractThe design of taxi billing systemAbstractThe design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning

3、circuit.This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip

4、of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results

5、show that the system can complete a variety of fare functions and will be displayed at the same time. Its also able to simulate the taxi start, stop and suspend state.Keyword: Billing system; hardware description language; FPGA; display目录目录摘要IAbstractII第一章 绪论11.1选题背景11.1.1 课题相关技术发展11.1.2 课题研究的必要性21.

6、2 课题研究内容3第二章 FPGA简介42.1 FPGA概述42.2 FPGA基本结构42.3 FPGA系统设计流程52.3.1 设计输入(原理图/HDL文体编辑)52.3.2 综合62.3.3 适配62.3.4 时序仿真与功能仿真62.3.5 编程下载72.3.6 硬件测试7第三章 总体设计方案83.1 设计要求83.2 设计原理8第四章 单元电路设计104.1 分频模块104.2 里程计数模块124.3 计费模块144.4 动态扫描模块184.5 顶层模块22结论25参考文献26致谢27附录28第一章 绪论第一章 绪论目前,现代电子产品几科渗透了社会的各个领域,产品的性能越来越强,复杂程度

7、越来越高,更新步伐越来越快。其中专用集成电路设计技术的日趋进步和完善,推动了数字系统的迅速发展。而电子产品技术发展的代表就是电子设计自动化设计(Electronic Design Automation )。本设计采用的VHDL是硬件描述语言的一种,VHDL不仅可以作为系统模拟的建模工具,而且可以作为电路系统的设计工具,可以利用软件工具将VHDL源码自动地转化为文体方式表达的基本逻辑元件连接图。并且具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。本论文采用ISP器件和VHDL语言开发出一套出租车计费系统,该计费系统的可靠性高

8、、成本低、通用性强;该系统在不改变硬件电路的前提下,具有可以重构系统的功能,采用完全相同电路结构,只要根需求在VHDL程序中设置各参数,就可以适应不同计费标准的需要,还可根据需求增加其他功能。1.1选题背景本节将从FPGA嵌入式应用开发技术与计费器技术发展的客观实际出发,通过对该技术发展状况的了解,以及课题本身的需要,指出研究基于FPGA芯片设计出租车计费系统的必要性。1.1.1 课题相关技术发展EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段

9、。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。现在数字设计依靠手工已经无法满足设计要求,设计工作需要在计算机上采用EDA技术完成。EDA技术以计算机硬件和系统软件为基本工作平台,采用EDA通用支撑软件和应用软件包,在计算机上帮助电子设计工程师完成电路的功能设计、逻辑设计、性能设计、时序测试直至PCB的自动设计等。在EDA软件的支持下、设计者完成对系统功能的描述,由计算机软件进行处理

10、得到设计结果。利用EDA设计工具,设计者可以预知设计结果,减少设计的盲目性,极大地提高设计的效率。EDA技术包括电子电路设计的各个领域:即从低频电路到高频电路、从线性电路到非线性电路、从模拟电路到数字电路、从分立电路到集成电路的全部设计过程,涉及电子工程师进行产品开发的全过程,以及电子产品生产的全过程中期望由计算机提供的各种辅助工作。EDA技术中现代数字系统的都是采用“自顶向下”的设计方法,从系统设计入手,在顶层进行功能方框图的划分和结构设计;在方框图一级进行仿真,纠错,并用硬件描述语言对高层次的系统行为进行描述;在功能一级进行验证,然后用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的

11、物理实现级可以是印刷电路板或专用集成电路。“Topdown”设计方法有利于在早期发现产品结构设计中的错误,提高设计的一次成功率。用硬件描述语言进行电路与系统的设计是当前EDA技术的一个重要特征。硬件描述语言突出优点是:语言的公开可利用性;设计与工艺的无关性;宽范围的描述能力;便于组织大规模系统的设计;便于设计的复用和继承等。与原理图输入设计方法相比较,硬件描述语言更适合规模日益增大的电子系统。硬件描述语言使得设计者在比较抽象的层次上描述设计的结构和内部特征,是进行逻辑综合优化的重要工具。目前最常用的IEEE标准硬件描述语言有VHDL和Verilog-HDL。不难理解,EDA技术发展到现在已不是

12、某一学科的分支,或某种新的技能技术,它应该是一门综合学科。它融合多学科于一体,又渗透于各学科之中。它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。1.1.2 课题研究的必要性 出租车计费器是出租车中最重要的工具,具有良好性能的计费器无论是对出租车司机还是乘客来说都是很必要的。因此,出租车计费器的研究也是十分有应用价值的。传统国内外出租车计费器多数由单片机实现,升级繁琐,成本高,硬件电路复杂,容易在运营过程中产生干扰,影响系统的使用;并且由于分立器件多,必然造成电源功耗大,芯片易发热,影响芯片的使用寿命。基于FPGA

13、的出租车计费器不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,所以基于FPGA的出租车计费器已成首选。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。现在各大中城市出租车行业都已普及自动计费器,所以计费器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计费器的普及也是毫无疑问的,所以未来汽车计费器的市场还是十分有潜力的。1.2 课题研究内容本课题主要研究在EDA工具软件平台上,利用硬件描述语言VHDL语言设计出租车计费系统,以Quartus II软件作为

14、开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费,预置起步费以及模拟汽车启动、停止、暂停等功能,并能动态扫描显示车费和里程。第二章 FPGA简介第二章 FPGA简介2.1 FPGA概述FPGA(Field Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA几乎能完成任何数字器件的功能,工程师可以通过传统的原理图输入法或者用硬件描述语言来设计一个数字系统。

15、通过软件仿真验证设计的正确性,可以根据需要随时修改设计而不用改变硬件电路,大大的缩短了设计时间,同时提高了系统的可靠性。这些优点使得FPGA技术在20世纪90年代后得到了高速的发展,同时也大大的推动了EDA软件和硬件描述语言HDL的进步。2.2 FPGA基本结构FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列组成,并由可编程的互联资源连接这些逻辑功能块来实现不同的设计。 FPGA一般由三种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这三种可编程电路是:可编程逻辑块(Configurable Logic Block,CLB)、输入/输出模块(I/O Block,IOB)和互联资源(Interconnect Resource,IR)。可编程逻辑块(CLB)是实现逻辑功能的基本单元,它们通常规则地排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源(IR)包括各种长度的连线线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路。FPGA的功能由逻辑结构的配置数据决定。工作时,这些配置数据存放在片内的SR

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号