大学教师的教学设计-郝老师.docx

上传人:M****1 文档编号:557334761 上传时间:2022-11-02 格式:DOCX 页数:5 大小:23.44KB
返回 下载 相关 举报
大学教师的教学设计-郝老师.docx_第1页
第1页 / 共5页
大学教师的教学设计-郝老师.docx_第2页
第2页 / 共5页
大学教师的教学设计-郝老师.docx_第3页
第3页 / 共5页
大学教师的教学设计-郝老师.docx_第4页
第4页 / 共5页
大学教师的教学设计-郝老师.docx_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《大学教师的教学设计-郝老师.docx》由会员分享,可在线阅读,更多相关《大学教师的教学设计-郝老师.docx(5页珍藏版)》请在金锄头文库上搜索。

1、教学设计方案姓名郝广涛职称讲师课程名称VHDL语言与FPGA课程性质专业核心选修课程教材EDA技术实用教程VHDL版(第五版)潘松等 科学出版社教学班级自动化15教材章节第3.3节 4选1多路选择器及其VHDL描述授课时间2017.10.18第1节教学基本内容一、复习上节课内容(2分钟) 1.复习2选1多路选择器的基本结构。(0.5分钟)2.复习2选1多路选择器的基本功能。(0.5分钟)3.复习2选1多路选择器的VHDL描述。(1分钟)二、引入新课(2分钟) 1. 4选1多路选择器的基本结构介绍,包括输入端口,输出端口,通道选择控制信号。(1分钟) 2. 4选1多路选择器与2选1多路选择器结构

2、相同点、异同点,包括:(1)相同点。(0.5分钟)(2)异同点。(0.5分钟)三、讲授新课(26分钟) 1. 根据4选1多路选择器的基本结构,讲授其基本功能:通道选择控制信号的4种组合对应的输出。(2分钟) 2. 讲授4选1多路选择器基本功能的VHDL描述,即CASE语句。包括:(1)CASE结构。(4分钟)(2)“WHEN=”语句的含义。(2分钟)(3)“WHEN OTHERS=”语句的含义。(2分钟)(4)“NULL”的含义。(1分钟)(5)其它注意事项。(2分钟) 3. 讲授4选1多路选择器VHDL的整体程序结构,包括:(1)引用库部分,包括:a.程序包“USE IEEE.STD_LOG

3、IC_1164.ALL”的含义。(1分钟)b. “SDT_LOGIC_VECTOR(1 DOWNTO 0) ”含义。(2分钟)c. “STD_LOGIC_VECTOR(0 TO 1)”的含义。(1分钟)(2)实体部分PORT端口定义。(1分钟)(3)结构体部分。包括:a.信号定义方式、数据对象和数据类型的知识。(2分钟)b.并置操作符的符号、举例说明。(4分钟)c. CASE结构属于顺序语句,执行过程。(2分钟)四、学生练习(12分钟) 1. 在QUARTUS II 软件上,使用CASE语句实现4选1多路选择器的功能。(8分钟) 2. 在QUARTUS II 软件上,使用IF-ELSE结构实现

4、4选1多路选择器的功能。(4分钟)五、总结与布置作业(3分钟) 1总结本节课主要内容。(2分钟) 2. 布置作业。(1分钟)教学目标1. 掌握4选1多路选择器的基本结构。2. 掌握4选1多路选择器的基本功能。3. 掌握4选1多路选择器的VHDL描述,主要包含:(1) CASE语句。(2) IEEE库标准逻辑位与矢量。(3) 信号。(4) 并置操作符。教学,重点和难点一、教学重点:1. 4选1多路选择器的基本结构、功能原理。2. 4选1多路选择器功能的CASE语句编程方法。二、教学难点:1. 4选1多路选择器的CASE语句编程方法。学情分析自动化15班学生为大三学生,已经学习过C语言、MATLA

5、B等编程语言,学习了数字电路、单片机等专业课,已经掌握软件、硬件及其结合的一些基本知识。本课程是利用纯VHDL软件语言来设计电路,该电路能够应用到实际,具有与硬件结合的特点。自动化15班的学生共有31人,男生21人,女生10人。通过前一段时间的学习,大部分同学对于本课程有了基本的了解,能够接受这种以软件设计硬件的学习模式,但仍有一部分同学对于VHDL编程语言感到陌生,不能掌握其基本语法,对于由VHDL语言设计形成的电路心理上不能接受,动手编写程序、编译、仿真的功底较薄弱。对此,在学习本节课时,采用以下步骤:1. 复习与本节课内容相关的上节课知识,重复以前的设计流程,以引导学生尽快进入学习新课的

6、环境。2. 对VHDL程序进行重点讲授,细化每一条代码。3. 结合VHDL程序,讲解对应电路功能原理。教学方法与教学模式 依据课堂教学顺序,依次采用如下的教学方法和教学模式:1. 复习回顾法:根据本堂课所要学习的4选1多路选择器的基本结构和原理,选择与其具有相似结构和原理的第3.1节2选1多路选择器进行回顾,使学生巩固旧知识的同时,为新知识的学习做铺垫。2. 演示讲解法。根据PPT上4选1多路选择器的基本结构,讲解其基本功能原理。 3. 实际编程序演示讲解法。根据4选1多路选择器的功能,使用QUARTUS II软件进行实际编程序讲解其每一条语句的含义。4. 学生上机操作练习法。利用机房中的电脑

7、,和学生的笔记本电脑,按步骤的指导学生在QUARTUS II软件平台上使用VHDL编程实现4选1多路选择器的功能。5. 练习讨论法。在学生上机操作过程中,让学生充分的练习并能够师生、学生之间相互讨论。6. 总结提高法。经过理论学习和上机实践,总结本节课的关键知识点、注意事项以及两种实现4选1多路选择器的异同点。教学过程设计1. 复习回顾,以提问和引导的方式引入新课;2. 利用多媒体展示4选1多路选择器的基本结构和功能特点;3. 通过板书和多媒体实现4选1多路选择器的VHDL功能描述;在重点部采用板书,学过部分采用多媒体展示。4. 采用上机、有步骤的形式教授试下实现学上学习生使用VHDL编程序实现4选1多路选择器的功能实现。5. 练习使用多种方法编程实现4选1多路选择器的VHDL描述,讲评各个特点。6. 小结,并布置作业。作业与考核一、作业1. 采用WHEN-ELSE方法实现4选1多路选择器的功能描述。二、考核1. 课堂上被提问的同学,答对问题在“课堂表现成绩”中为“优秀”;答错和没有被提问的同学都为“中等”。2. 在课堂实际编程中,独立完成练习题在“课堂表现成绩”中为“优秀”,其它按情况给予“良好”和“中等”。其他说明1. 在“教学基本内容”栏目中,所设计的时间安排跟实际可能存在误差,总误差控制在1分钟内。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号