八位16进制、八位10进制频率计设计

上传人:公**** 文档编号:557161361 上传时间:2022-10-29 格式:DOCX 页数:16 大小:60.69KB
返回 下载 相关 举报
八位16进制、八位10进制频率计设计_第1页
第1页 / 共16页
八位16进制、八位10进制频率计设计_第2页
第2页 / 共16页
八位16进制、八位10进制频率计设计_第3页
第3页 / 共16页
八位16进制、八位10进制频率计设计_第4页
第4页 / 共16页
八位16进制、八位10进制频率计设计_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《八位16进制、八位10进制频率计设计》由会员分享,可在线阅读,更多相关《八位16进制、八位10进制频率计设计(16页珍藏版)》请在金锄头文库上搜索。

1、EDA 综合实习报告一李爱20111154006电子科学与技术2011 级1. 数字频率计的设计(1)8 位 16 进制频率计主程序:LIBRARY IEEE;ENTITYMAIN ISPORT (A,clk1,CLK: IN STD_LOGIC;O:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);P: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END;ARCHITECTURE HEAD OF MAIN ISCOMPONENT CEPINPORT (CLK1:IN STD_LOGIC;CNT: OUT STD_LOGIC;RST:OUT STD_LOG

2、IC;LOAD:OUT STD_LOGIC);END COMPONENT;COMPONENT JISHUPORT (CLR:IN STD_LOGIC;EN:IN STD_LOGIC;FIN:IN STD_LOGIC;COUT:OUT STD_LOGIC_VECTOR(31 DOWNTO 0) );END COMPONENT;COMPONENTSUOCUNPORT( LK :IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR (31 DOWNTO 0);QDOUT: OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;COMPO

3、NENT XIANSHIPORT (clk: in std_logic;Q:IN STD_LOGIC_VECTOR(31 DOWNTO 0);T:buffer STD_LOGIC_VECTOR(2 DOWNTO 0);Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END COMPONENT;SIGNALNET1,NET2,NET3:STD_LOGIC;SIGNAL NET4,NET5 :STD_LOGIC_VECTOR(31 DOWNTO 0);BEGINU1:CEPIN PORT MAP (CLK1=CLK,CNT=NET1,RST=NET2,LOAD=NET3);U

4、2:JISHU PORT MAP (CLR=NET2,EN=NET1,FIN=A,COUT=NET4);U3:SUOCUN PORT MAP (LK=NET3,DIN=NET4,QDOUT=NET5);U4:XIANSHI PORT MAP (clk=clk1,Q=NET5,Y=P,T=O);END HEAD;测频LIBRARY IEEE;ENTITYcepin ISPORT (CLK1:IN STD_LOGIC;CNT: OUT STD_LOGIC;RST:OUT STD_LOGIC;LOAD:OUT STD_LOGIC);END ;ARCHITECTURE one OF cepin ISS

5、IGNAL M: STD_LOGIC;BEGINPROCESS (CLK1)BEGINIF CLK1 EVENT AND CLK1=1 THENM= NOT M;END IF;END PROCESS;PROCESS (CLK1,M)BEGINIF CLK1=0 AND M=0 THEN RST=1;ELSE RST =0;END IF;END PROCESS;LOAD = NOT M;CNT =M;END one;计数LIBRARY IEEE;ENTITY JISHU ISPORT (CLR:IN STD_LOGIC;EN:IN STD_LOGIC;FIN:IN STD_LOGIC;COUT:

6、OUT STD_LOGIC_VECTOR(31 DOWNTO 0);END ;ARCHITECTURE two OF JISHU ISSIGNAL Q: STD_LOGIC_VECTOR(31 DOWNTO 0);BEGINPROCESS (CLR,EN,FIN) BEGINIF CLR=1 THEN Q 0);ELSIF FIN EVENT AND FIN=1 THENIF EN=1 THEN Q = Q+1;END IF;END IF;END PROCESS;COUT =Q;END two;锁存LIBRARY IEEE;ENTITYSUOCUN ISPORT( LK :IN STD_LOG

7、IC;DIN:IN STD_LOGIC_VECTOR (31 DOWNTO 0);qDOUT: OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END ;ARCHITECTURE three OF SUOCUN ISBEGINPROCESS (LK,DIN)BEGINIF LK EVENT AND LK=1 THEN qDOUT T=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;YT=000;Y NULL;END C

8、ASE;elsif Q1=0010 thenCASE Q(7 downto 4) ISWHEN 0000=T=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;YT=001;Y NULL;END CASE;elsif Q1=0011thenCASE Q(11 downto 8) ISWHEN 0000=T=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=010;YT=

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 演讲稿/致辞

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号