《单片机原理》课程设计报告电子钟

上传人:ni****g 文档编号:554839513 上传时间:2023-03-17 格式:DOC 页数:27 大小:315.01KB
返回 下载 相关 举报
《单片机原理》课程设计报告电子钟_第1页
第1页 / 共27页
《单片机原理》课程设计报告电子钟_第2页
第2页 / 共27页
《单片机原理》课程设计报告电子钟_第3页
第3页 / 共27页
《单片机原理》课程设计报告电子钟_第4页
第4页 / 共27页
《单片机原理》课程设计报告电子钟_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《《单片机原理》课程设计报告电子钟》由会员分享,可在线阅读,更多相关《《单片机原理》课程设计报告电子钟(27页珍藏版)》请在金锄头文库上搜索。

1、物理与机电工程学院课程设计报告课程名称: 单片机课程设计 系 部: 物理与机电工程学院 专业班级: 电子信息工程 学生姓名: 桑 胜 金 指导教师: 王 清 辉 完成时间: 2012-3-16 报告成绩: 评阅意见: 评阅教师 日期 一、设计任务与要求二、方案设计与论证三、硬件电路设计四、软件设计五、仿真过程与仿真结果六、安装与调试七、结论与心得八、参考文献单片机原理课程设计报告一、设计任务与要求1、设计基本要求。设计一个电子钟:(1)具有小时分秒时间显示。(2)能够设置闹钟至少5次闹钟时间。(3)可利用按键修改时间,具有上午下午的提示信息。2、。通过课程设计的教学实践,进一步学习、掌握单片机

2、应用系统的有关知识,加深了解单片机的工作原理。初步掌握简单单片机应用系统的设计、制作、调试的方法。提高动手实践能力、提高科学的思维能力。二、方案设计与论证1 电子时钟1.1 电子时钟简介 1957年,Ventura发明了世界上第一个电子表,从而奠定了电子时钟的基础,电子时钟开始迅速发展起来。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进行满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零。从而达到计时的功能,是人民日常生活补课缺少的工具。1.2 电子时钟的基本特点 现在高精度的计时工具大多数都使用了石英晶体振荡器,走时精度高,稳定

3、性好,使用方便,不需要经常调试,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时、分、秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。1.3 电子时钟的原理 该电子时钟由89C51,BUTTON,六段数码管等构成,采用晶振电路作为驱动电路,由延时程序和循环程序产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。而电路中两个控制键功能直接按下不松开,则可以通过按键实现分钟的累加,每按一次分钟加一;而另一按键按下又放松,则可实现小时的调节,同样每按一次小时加一。2 单片机识的相关知识

4、2.1 单片机简介 单片机全称为单片机微型计算机(Single Chip Microsoftcomputer)。从应用领域来看,单片机主要用来控制,所以又称为微控制器(Microcontroller Unit)或嵌入式控制器。单片机是将计算机的基本部件微型化并集成在一块芯片上的微型计算机。2.2 89C51单片机介绍 VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行

5、校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取

6、时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口也可作为AT89C51的一些特殊功能口,如下表所示:口管脚 备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /

7、INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个

8、ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用

9、于施加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。3 控制系统的软件设计3.1 单片机型号的选择 通过对多种单片机性能的分析,最终认为89C51是最理想的电子时钟开发芯片。89C51是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能器件,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,89C51是一种高效微控制器,而且它与MCS-51兼容,且具有4K字节可编程闪烁存储器和1000写/擦循。3.2 数码管显示工作原理数码管是一种把多个LED显示段集成在一起的显

10、示设备。有两种类型,一种是共阳型,一种是共阴型。共阳型就是把多个LED显示段的阳极接在一起,又称为公共端。共阴型就是把多个LED显示段的阴极接在一起,即为公共商。通常的数码管又分为8段,即8个LED显示段,分别为A、B、C、D、E、F、G、DP,其中DP 是小数点位段。而多位数码管,除某一位的公共端会连接在一起,不同位的数码管的相同端也会连接在一起。即,所有的A段都会连在一起,其它的段也是如此,这是实际最常用的用法。数码管显示方法可分为静态显示和动态显示两种。静态显示就是数码管的8段输入及其公共端电平一直有效。动态显示的原理是,各个数码管的相同段连接在一起,共同占用8 位段引管线;每位数码管的

11、阳极连在一起组成公共端。利用人眼的视觉暂留性,依次给出各个数码管公共端加有效信号,在此同时给出该数码管加有效的数据信号,当全段扫描速度大于视觉暂留速度时,显示就会清晰显示出来。3.3 键盘电路设计 该设计只用了两个键盘,但实现的功能却是比较完善,减少了硬件资源的损耗,该键可以实现小时和分钟的调节。当按键按下又松开,则可以通过按键实现分钟的累加,每按一次分钟加一;另一个按键则可实现小时的调节,同样每按一次小时加一。达到时间调节的目的。三、硬件电路设计引脚功能说明89C51外部引脚图:(可以直接拷入ASM程序文件中,作注释使用,十分方便); P1.0 1 40 Vcc ; P1.1 239 P0.

12、0; P1.2 3 38 P0.1; P1.3 437 P0.2; P1.4 5 36 P0.3; P1.5 6 35 P0.4; P1.6 7 34 P0.5; P1.7 8 33 P0.6; RST/Vpd 9 32 P0.7; RXD P3.0 10 31 -EA/Vpp(内1/外0 程序地址选择); TXD P3.1 11 30 ALE/-P (地址锁存输出); -INT0 P3.2 12 29 -PSEN (外部程序读选通输出); -INT1 P3.3 13 28 P2.7; T0 P3.4 14 27 P2.6; T1 P3.5 15 26 P2.5; -WR P3.6 16 25 P2.4; -RD P3.7 17 24 P2.3; X2 18 23 P2.2; X1

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号