可编程器件EDA技术与实践试卷9.doc

上传人:re****.1 文档编号:551341119 上传时间:2022-09-20 格式:DOC 页数:4 大小:119.51KB
返回 下载 相关 举报
可编程器件EDA技术与实践试卷9.doc_第1页
第1页 / 共4页
可编程器件EDA技术与实践试卷9.doc_第2页
第2页 / 共4页
可编程器件EDA技术与实践试卷9.doc_第3页
第3页 / 共4页
可编程器件EDA技术与实践试卷9.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《可编程器件EDA技术与实践试卷9.doc》由会员分享,可在线阅读,更多相关《可编程器件EDA技术与实践试卷9.doc(4页珍藏版)》请在金锄头文库上搜索。

1、华北航天工业学院试题课程名称:可编程器件EDA技术与实践 试卷种类:期末考试(A)卷,共5页 班级: 姓名: 学号: 成绩:一二三四五一填空题(20分)1CPLD的内部连线为 互连结构,任意一对输入、输出端之间的延时 ;FPGA的内部连线为 互连结构,各功能单元间的延时 。2CPLD中的逻辑单元是大单元,适合 系统;FPGA的逻辑单元是小单元,适合 系统。3反熔丝编程技术也称 技术,这类器件是用逆熔丝作为开关元件。4根据数字系统的功能定义,可将整个系统划分为两个子系统: 和 。5 PLD的基本结构可看成是由 、 和 等三部分组成。6图形文件的扩展名是 ,仿真通道文件的扩展名是 ,波形文件的扩展

2、名是 ,使用VHDL语言,文本设计文件的扩展名是 。7元件例化语句的作用: ,由 和 两部分组成。8摩尔状态机中,其输出只是 函数,并且仅在时钟边沿到来时才发生变化。二、简答题20分(每题5分)1 简述MAX+PLUS的设计流程。2 计时怎样选择CPLD和FPGA芯片?3简述变量与信号的主要区别?4 述VHDL程序结构三、已知三选一电路如图,判断下列程序是否有错误,如有则指出错误所在,并给出完整程序。(10分)library ieee; use ieee.std_logic_1164.all; ENTITY muxk isport(a1,a0,b1,b0,ci:in std_logic; s1

3、,s2,co:out std_logic); end; architecture one of muxk is component mux21a port(a,b,s:in bit; y:out bit); end component; beginmux21a port map(a2,a3,s0,b); u2:mux21a port map(a1,y,s1,outy); end one ;四、解释程序 (30分)要求:1 解释带有下划线的语句。2 画出该程序的引脚示意图。3 说明该程序逻辑功能。程序一library ieee; use ieee.std_logic_1164.all; enti

4、ty mux21 is port(a,b,s:in bit; y:out bit); end mux21a; architecture one of mux21 is begin yb then temp:=a; else temp:=b; end if; return temp; end max; beginout1=max(dat1,dat2); out2=max(dat3,dat4); end one;五、编程题(20分)1. 请分析下面两个进程,然后回答问题p1:process (a,b,c) variable d:std_logic;begin d := a ; x = b+d ; d := c ; y = b+d ;end process p1;p2:process (a,b,c,d)begin d = a ; x = b+d ; d = c ; y = b+d ;end process p2;1、进程1执行后x和y的结果是什么?2、进程2执行后x和y的结果是什么?3、根据1和2的结果,你可以得出什么结论?2设计一个带有异步清零功能的十进制计数器。计数器时钟clk上升沿有效、清零端CLRN、进位输出CO。 196

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号