基于现代DSP技术的BPSK的调制器与解调器的设计与实施

上传人:m**** 文档编号:550415290 上传时间:2022-10-17 格式:DOC 页数:5 大小:116KB
返回 下载 相关 举报
基于现代DSP技术的BPSK的调制器与解调器的设计与实施_第1页
第1页 / 共5页
基于现代DSP技术的BPSK的调制器与解调器的设计与实施_第2页
第2页 / 共5页
基于现代DSP技术的BPSK的调制器与解调器的设计与实施_第3页
第3页 / 共5页
基于现代DSP技术的BPSK的调制器与解调器的设计与实施_第4页
第4页 / 共5页
基于现代DSP技术的BPSK的调制器与解调器的设计与实施_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《基于现代DSP技术的BPSK的调制器与解调器的设计与实施》由会员分享,可在线阅读,更多相关《基于现代DSP技术的BPSK的调制器与解调器的设计与实施(5页珍藏版)》请在金锄头文库上搜索。

1、基于现代DSP技术的BPSK的调制器与解调器的设计与实施摘要: 这篇文章叙述了一种BPSK调制器与解调器的设计方法。该方法利用的是现代DSP技术,运用的DSP工具是美国Altera公司的。直接数字合成(DDS)原则被简要的表示,用来设计正交余弦模块。在解调器中,我们利用低通FIR滤波器滤出IP核心的高频成分。BPSK调制解调器最终在FPGA设备上实现。这个设计运用matlab/simulink,DSP Builder 7.2,ModelSim6.1g和Quartus|7.2来模拟和实现基于FPGA的BPSK的调制器和解调器,用DSP Builder 7.2替代VHDL编程,在同样的工作台上建模

2、和硬件实施有机的结合起来。这个方法可以极大地提高运算速度,降低运算周期以及减少空间开销。这个实践证明了这个方法的正确性和有效性。关键词:BPSK、直接数字合成、IP核、FIR、DSP Builder1.简介 DDS是频率合成的一种新技术。它发展了第三代的频率合成技术在直接频率合成和非直接频率合成之后,突破了前两者频率合成的方法。参考文献1证明DDS技术增加了声望作为一种合成正弦信号和在数字系统中调制信号的方法。 现代DSP技术就是EDA技术用于FPGA芯片上DSP技术的发展。DSP开发工具是一款由美国Altera公司开发的适应DSP系统层面的设计工具。在MATLAB的Simulink中作为一种

3、工具箱出现。设计者需要的是由DSP开发工具完成和声的验算的运算法则和在MATLAB模拟中的处理过程。参考文献2证明如果文件通过测试而且可以被编译,然后它可以被下载到FPGA中。作为结果,FPGA表现出相应的功能根据下载的命令。复杂的软件处理程序被省略。所以运算速度很快,运算速度变快,运算空间和开销变小。2.DDS原则 在最简单的例子中,一个直接数字合成由一个ROM组成,在这个ROM中存储了许多正弦波的例子(正弦波查找表LUT)且它在参考文献3中有介绍。图1表示一个DDS系统的程序框图。DDS系统由一个更高的时钟频率的数字集成电路给定一个频率产生正弦信号。相位累加器阶段接收所谓的频率设定字(FS

4、W)即决定相位的步进。一旦设定,这个数字字决定了所产生正弦波的频率。相位累加器不断产生输出正确的二进制字来说明瞬时相位到查找表的功能。换句话说,相位累加器用于计算正弦查找表的连续地址,这些地址生成一个数字正弦波输出。以这种方式,样本存在于一个可控制的方式中即每一个步长由频率设定字决定。DDS系统将结果相位转换为一个正弦波形通过查找表,将正弦波的数字表示形式转换成模拟形式用一个数模转换器,后面紧跟着一个低通滤波器(LPF)。 DDS系统的数字部分即相位累加器和LUT通常叫作数字控制振荡器(NCO)。对于一个M比特系统的输出信号的频率由下面等式决定: 其中,K是FSW,M表示相位累加器可以处理的比

5、特数的数字,fclk是HZ中生成器的时钟频率。 图1.DDS系统的程序框图3.基于现代DSP技术的系统设计和实施在我们的设计中,我们用基于模型的设计工具像带有DSP开发工具库的Simulink。DSP开发工具用模型设计来产生和综合HDL代码,这个可以被综合到其他的硬件设计文件中在综合工具中,像Quartus|开发环境。这个方法在4中有介绍。在现在,我们用DSP开发工具库设计DDS和fir滤波器来实施BPSK调制解调器而且结果块被综合到Quartus|系统中。A基于DSP开发工具的DDS模型 根据DDS基本原则,DDS模型被建造在Matlab/Simulink软件环境中通过用FPGA和DSP开发

6、工具,DSP Builder。基于DDS技术的正交信号的合成器应用模型在Quartus|软件中建造。图2展示的是DDS子系统的配置,这个子系统是基于DSP Builder的DDS模型。有3个输入,如一个32比特的频率控制字(Freq Word),一个16比特的相位控制字(Phase Word)和一个10比特的幅度控制字(Amp);有10比特输出2和输出3两个输出;还有两个并行加法减法器,相位累加器和相位调制器以及一个为正弦ROM查找表的LUT也包含在DDS子系统中。 图2.基于DSP Builder的DDS子系统B.BPSK调制解调器的模型设计 基于BPSK调制解调器的原则,在DSP Buil

7、der中这个架构由一些基本的模块搭建而成,这个架构如图3所示。 图3. BPSK调制解调器的架构 在图3中,两个一样的正弦载波从DDS中生成。脉冲生成器用于模拟调制器的脉冲源信号,输出是二进制序列;复用器用于代码类型的转换;其他的是I/O端口。二进制脉冲是从输入1进入的输入,再乘以正弦载波,结果就是从输出端口的输出。调制信号乘以正弦波,然后fir_compiler_v7.2滤出高频部分,解调信号可以从比较器后面的输出1端口中的输出获得。BPSK调制解调器在MATLAB软件的Simulink开发环境中编译。设定模型的相关参数,BPSK调制解调器的VHDL语言由DSP Builder信号编译工具生

8、成。4.模拟结果和分析 这里我们通过DSP Builder工具来实现算法系统级的设计。它是一个DSP开发工具,这个工具接口MathWorks公司的系统级DSP工具带有Quartus|开发软件的Simulink。一旦我们在MATLAB和Simulink中完成算法模型,模拟和系统综合,然后DSP Builder可以自动生成HDL文件,这些文件在寄存器转换级(RTL)。RTL级的模拟由ModelSim模拟器来完成,在这些模拟器中我们可以证明RTL模拟结果与Simulink模拟结果(系统级)相匹配。FPGA的实现和用Quartus|和Cyclone| EP2C5F256C6 DSP开发板来完成的测验。

9、 图4展示了DDS在Simulink中的模拟结果。两个一样的正弦波从DDS中输出。LUT的正弦波或余弦波查找表由这个公式定义,。相位调制器的字长度M等于32;fclk是65536HZ,f0是1024HZ。相位控制字、频率控制字K和幅度控制字分别设置为0、67108864和124。 图5展示的是BPSK调制解调器的结果。 RTL的模拟结果展示在图6中;图7中展示的是在Quartus|7.2环境下模拟的波形。 图4.DDS的输出 图5.BPSK调制解调器的结果 图6. ModelSim中的输出波形 图7. Quartus|环境下模拟波形在图5中,第一个是二进制脉冲信号;第二个是调制数据;第三个是调制器和解调器的载波;第四个是Product1的输出;第五个是FIR IP核的信号;最后一个是比较器后的输出。图5展示的解调器结果和输入的脉冲信号相一致;而且我们可以从ModelSim模拟器中获得同样的结果如图6所示。所以这个BPSK的架构可以完成我们想要的功能。这个方法在模型设计和硬件实施有机的结合在同一个工作平台上。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号