ALU实验报告模版.docx

上传人:鲁** 文档编号:549745663 上传时间:2023-07-15 格式:DOCX 页数:6 大小:28.26KB
返回 下载 相关 举报
ALU实验报告模版.docx_第1页
第1页 / 共6页
ALU实验报告模版.docx_第2页
第2页 / 共6页
ALU实验报告模版.docx_第3页
第3页 / 共6页
ALU实验报告模版.docx_第4页
第4页 / 共6页
ALU实验报告模版.docx_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《ALU实验报告模版.docx》由会员分享,可在线阅读,更多相关《ALU实验报告模版.docx(6页珍藏版)》请在金锄头文库上搜索。

1、算术规律单元试验报告一、试验目的1、把握运算器的工作原理。2、验证运算器的功能。二、试验原理算术规律单元的主要功能是对二进制数据进展定点算术运算、规律运算和各种移位操作。算术运算包括定点加减乘除运算;规律运算主要有规律与、规律或、规律异或和规律非操作。ALU通常有两个数据输入端A和B,一个数据输出端Y 以及标志位等。三、试验要求1、试验设计目标设计一个16位算术规律单元,满足以下要求。116位算术规律单元能够进展以下运算:加法、减法、加1、减1、与、或、非和传送。用3位运算操作码OP2.0进展运算,把握方式如下表所示。运算操作码OP2.0运算对标志位Z和C的影响000resultA+B影响标志

2、位Z和C001resultA+1影响标志位Z和C010resultA-B影响标志位Z和C011resultA-1影响标志位Z和C100resultA and B影响标志位Z101resultA or B影响标志位Z110resultnot B影响标志位Z111result B不影响标志位Z和C(2) 设立两个标志存放器Z和C。当复位信号reset为低电寻常,将这两个标志存放器清零。当运算完毕后,在时钟clk的上升沿转变标志存放器Z和C的值。运算结果转变标志存放器C、Z的状况如下:加法、减法、加1、减1运算转变Z、C; 与、或、非运算转变Z,C保不变;传送操作保持Z、C不变。因此在运算完毕Z、C

3、需要两个D触发器保存。(3) 为了保存操作数A和B,设计两个16位存放器A和B。当存放器选择信号sel=0时,假设允许写信号write=1.,则在诗中clk的上升沿将数据输入dinput送入A 存放器;当存放器选择信号sel=1时,假设允许写信号write=1.,则在诗中clk的上升沿将数据输入dinput送入B存放器。(4) 算术规律单元用一个设计实体完成。2. 顶层设计实体的引脚要求(1) clk对应试验台上的时钟单脉冲。(2) reset对应试验台上的CPU复位信号CPU-RST。(3) 数据输入dinput对应试验台开关SD15SD0。(4) 允许写信号write对应试验台开关SA5.

4、(5) OP2.0对应试验台开关SA2SA0.(6) 存放器选择信号sel对应试验台开关SA4.716为运算结果result对应试验台上的指示灯A15A0.8Z、C标志位对应试验台上的Z、C指示灯。四、 试验步骤1、 试验台设置成FPGA-CPU独立调试模式。REGSEL=0、CLKSEL=1、FDSEL=0。使用试验台上的单脉冲,即STEP_CLK短路子短接,短路子RUN_CLK断开。2、将设计在Quartus下输入,编译后下载到TEC-CA上的FPGA中。3、对第1组数据进展8种运算,A为0xAAAA,B为0x55554、对第2组数据进展8种运算,A为0xFFFF,B为0x00005、 对

5、第3组数据进展8种运算,A为0x0000,B为0xFFFF6、对第4组数据进展8种运算,A为0x8950,B为0x98637、依据4组数据运算结果,连同标志位的状态填写下表:算术规律单元试验运算类型OP结果rezult运算前运算后运算前运算后result-A+B000oxFFFF0000result-A+1001oxAAAB0000result-A-B010ox55550000result-A-1011oxAAA90000result-AandB100ox00000001result-AorB101oxFFFF0010result-notB110oxAAAA0000result-B111ox5

6、5550000result-A+B000oxFFFF0000result-A+1001ox00000101result-A-B010oxFFFF1010result-A-1011oxFFFE0000result-AandB100ox00000001result-AorB101oxFFFF0010result-notB110oxFFFF0000result-B111ox00000000result-A+B000oxFFFF0000result-A+1001ox00010000result-A-B010ox00010100result-A-1011oxFFFF1100result-AandB100

7、ox00001101result-AorB101oxFFFF1110result-notB110ox00001101result-B111oxFFFF1111result-A+B000ox21B30100result-A+1001ox89511000result-A-B010oxF0ED0100运算操作码数据运算标志C标志Z第1组数据A=0xAAAA B=0x5555第2组数据A=0x FFFF B=0x0000第3组数据A=0x0000 B=0x FFFF第4组数据A=0x 8950 B=0x9863result-A-1011ox894F1000result-AandB100ox884000

8、00result-AorB101ox99730000result-notB110ox679C0000result-B111ox98630000五、试验体会在算术规律运算中,由于涉及到标志位的显示,故在16位的操作数状况下, 运算结果的临时存放器要有17位,两个16位操作数在计算时也要扩大为17位,即rtrtrtrtrtrtrtrtrtrt=(x“0000“)&”0”; end case;end process; process(rt) beginresultCCCCrtrtrt when others=C=”0”;end case; if(result=x“0000“)thenZ=”1”;elseZ=”0”;end if; end if;end process; process(clk) beginif(clk”event and clk=”1”)then if(sel=”0” and Wr=”1”)thenA=dinput;elsif(sel=”1” and Wr=”1”)then B=dinput;end if; end if;end process; end architecture;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档 > 租房合同

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号