交通灯控制器VHDL设计

上传人:汽*** 文档编号:549481167 上传时间:2023-04-06 格式:DOCX 页数:9 大小:175.24KB
返回 下载 相关 举报
交通灯控制器VHDL设计_第1页
第1页 / 共9页
交通灯控制器VHDL设计_第2页
第2页 / 共9页
交通灯控制器VHDL设计_第3页
第3页 / 共9页
交通灯控制器VHDL设计_第4页
第4页 / 共9页
交通灯控制器VHDL设计_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《交通灯控制器VHDL设计》由会员分享,可在线阅读,更多相关《交通灯控制器VHDL设计(9页珍藏版)》请在金锄头文库上搜索。

1、$xxxxxxxxXXX280*w#44步瑟不44M TOHA 器fmt像圣:0 w41MB vaa设计要求乐曲硬件演奏电路的VHDL设计要求:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口, 在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行, 黄灯亮则给行驶中的车辆有时间停在禁行线外。2、红、绿、黄发光二极管作信号灯,。3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿 灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干 道每次放行25秒,设立45秒、25秒计时、显

2、示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶 中的车辆有时间停到禁行线外,设立5秒计时、显示电路。:教学提示:1、选择1HZ时钟脉冲作为系统时钟。2、45秒、 25秒、 5秒定时信号可用顺计时,也可用倒计时,计时起始信号由 主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使 计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。显示结果:设计一个十字路口的交通灯控制器,能显示十字路口东西、南 北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别 作为东西、南北两个方向的红、黄、绿灯,变化规律为:东西绿灯亮,南北红 灯亮一东西

3、黄灯亮,南北红灯亮一东西红灯亮、南北绿灯亮一东西红灯亮,南 北黄灯亮一东西绿灯亮,南北红灯亮.,这样依次循环。 南北方向是主干道车道,东西方向是支干道车道,要求两条交叉道路上的车辆 交替运行,主干道每次通行时间都设为45秒,支干道每次通行时间都设为25 秒,时间可设置修改。在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。要求交通 灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位, 并且要求所有交通灯的状态变化,包括复位信号引起的均发生时钟脉冲的上升 沿处。设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。设计报告、设计题目:交通灯控制器 VHDL 设计

4、二、设计目标:1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设 置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间 停在禁行线外。2、红、绿、黄发光二极管作信号灯,。3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红 灯;支干道亮绿灯时,主干道亮红灯。4、主、支干道均有车时,两者交替允许通行,主干道每次放行 45 秒,支干道每次放行 25 秒, 设立 45 秒、25 秒计时、显示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮 5 秒黄灯作为过渡,使行驶中的车辆有时间停 到禁行线外,设立

5、 5 秒计时、显示电路。三、设计原理: 设计框图如下页图所示,该交通信号灯控制器由主控电路和计时电路两大部分组成。$主控电路是一个单进程Moore型有限状态机,通过接收定时器发送的“时间到”信号以及根 据s (用来指示支干道是否有车来,1表示支干道没有车来,0支干道有车来)的值进行 状态的切换,实现对十字路口东西、南北两个方向的红、黄、绿灯状态的控制。具体控制过程为: 当s= 1时,支干道没有车来,主干道处于常允许通行的状态,此时主干道亮绿灯,支干道亮 红灯;当s二0时,支干道有车来,即主、支干道均有车,两者交替允许通行,主干道每次放 行 45 秒,支干道每次放行 25 秒,且在每次由绿灯亮到

6、红灯亮的转换过程中,要亮 5 秒黄灯作为 过渡。变化规律为:东西绿灯亮,南北红灯亮f东西黄灯亮,南北红灯亮f东西红灯亮、南北绿 灯亮f东西红灯亮,南北黄灯亮f东西绿灯亮,南北红灯亮,这样依次循环。由于主、支干道有 45秒和25秒得放行时间,以及每次由绿灯亮到红灯亮的转换过程中,要 亮 5秒黄灯作为过渡,所以设计45秒、25秒和5秒计时电路,均采用倒计时。考虑到显示的方 便,每个计时电路又由计数器和码型转换电路组成。码型转换电路是把对应的二进制码直接转换 成数码管显示时的码字,采用数码管的静态显示,这样可简化模块设计。设计原【 理图四、设计内容:1、计时电路由于 45 秒、25 秒和5 秒计时电

7、路设计原理一致,仅介绍 45秒计时电路。45 秒计时电路内部结构图上图为45秒计时电路内部结构图,很显然是由45进制计数器和码型转换电路组成。选择1HZ 时钟脉冲作为系统时钟,可实现45s计时。计数输出经码型转换电路后分成十位和个位,送至数 码管显示。2、主控电路主控电路是一个单进程Moore型有限状态机,其状态转换图如下:每种状态说明如下表:原状 态目的 状态转换条件状态输出S0s0s= 1ledsn=100001;c45=1;c25=0;c545=0;c525=0;s1s= 1且s45二1s1s1s545二0ledsn=010001;c45=0;c25=0;c545=1;c525=0;s2

8、s545二1s2s2s25二0ledsn=001100;c45=0;c25=1;c545=0;c525=0;s3s25二1s3s3s525二0ledsn=001010;c45=0;c25=0;c545=0;c525D匚LE3H5Tnd-E总*30 ldrrefjd田1 K-tHSfhjifJB0 CL_t!5EiI 5. yd吠EjzlH cL.EPnlh衿50L3 7_3rnth19 1 ns:InteruatJ P5.绰 P三 Z. 5 IJ! 3.8 T155 Jj! tie6 nsLE L nxnnniuiniuiimSKDEolC ziotioczzzzOMIOZIQ?)i:-t;

9、r -i ) |: F X F )r-t h/ )0纣K酬艸糊艸w册(懈惭懈忙W-GratEnd岬严 E 曾币里爭础LLZ in IZ.pis H (B nsLZi 79 ignrifgnrTICE矿335Ts= 0,支干道有车来,即主、支干道均有车,两者交替允许通行hitler Tme Bai. |1&825 ns-卜 畑.|50.03 reIntervat |39.21 reSlat |Endt |ft-SIJkn亡J ps&40.0 a1. 2 QL.92 is2. M as3.2 us3. w4.43 ils5.12: usyE. * 证?.O4 yJ5.i325 DL3JiD:LEr

10、_7nnr_/nnrMr.nn-nnnji7/nnrMnnnrrTinnnrinr:inrr7iniS bdricH dLtsiiiS0曲tkS罰33岳it)83J;102両iE旳J;102岳2B63;102阳-j- i.-X - JC-JCi:=-1 =- 2KZ - X 畑X心3:X -1- X?:灯帘汕痔.iJk cm 瞋 孕炬拓r工:邙忙:加亡卜上工工:汀岸仍uf疋e好:攵口邙工也立 m 或畑常肿1:-切岳5D63f102s= 1,支干道没有车来,主干道处于常允许通行的状态六、总结:本设计是综合应用状态机的设计性实验,读懂设计目标后,认真分析主干道和乡道的状态转 移同样也十分重要。实践

11、中总结出,先画出状态转换图对状态机的设计有着巨大的帮助作用。 仿真后发现设计方案可行,满足设计目标。通过这次设计实验,使我加深对状态机的理解和应用, 熟悉EDA设计软件Quartusll,加强独立完成电子设计的能力。七、附录1、码型转换library ieee;uset2bcd isport( bins:in std_logic_vector(5 downto 0);H,L:out std_logic_vector(6 downto 0);end;architecture behav of t2bcd isbegin process(bins)begincase bins iswhen 000000=H=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0111111;LH=0000110;LH=0000110;LH=0000110;LH=0000110;LH=0000110;LH=0000110;L=1101101;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号