数据库安装、NC50中间件安装和开发环境配置

上传人:汽*** 文档编号:548336525 上传时间:2022-10-25 格式:DOCX 页数:44 大小:4.05MB
返回 下载 相关 举报
数据库安装、NC50中间件安装和开发环境配置_第1页
第1页 / 共44页
数据库安装、NC50中间件安装和开发环境配置_第2页
第2页 / 共44页
数据库安装、NC50中间件安装和开发环境配置_第3页
第3页 / 共44页
数据库安装、NC50中间件安装和开发环境配置_第4页
第4页 / 共44页
数据库安装、NC50中间件安装和开发环境配置_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《数据库安装、NC50中间件安装和开发环境配置》由会员分享,可在线阅读,更多相关《数据库安装、NC50中间件安装和开发环境配置(44页珍藏版)》请在金锄头文库上搜索。

1、编号:时间:2021年x月x日书山有路勤为径,学海无涯苦作舟页码:第1页 共1页目 录1产品客户化安装步骤21.1安装产品客户化模块21.2安装Oracle数据库61.3配置Oracle数据库91.3.1配置Net服务名91.3.2在Oracle数据库中分配表空间161.3.3在Oracle数据库中创建用户211.4NC中间件的配置和启动241.4.1Data Source配置251.4.2Server配置261.4.3Log配置271.4.4License配置281.4.5Deployment配置281.5NC帐套的设置302其他业务产品安装步骤332.1安装产品供应链模块333开发环境的配

2、置391 产品客户化安装步骤1.1 安装产品客户化模块首先找到NC50安装包中的NC_UAP目录,该目录是安装客户化产品的,找到该目录下的ncsetup.bat文件双击运行,如图:双击后会弹出安装界面,如图:点击下一步按钮,出现界面如图:在界面中阅读完相关信息后,先选择“同意”,然后再点击下一步,出现界面如图:在界面中,可以修改产品安装的目录(比如:E:ufsoftnchome),也可以直接点击下一步,按默认路径进行安装,然后出现下一界面,如图:在“待安装产品”中将“客户化”前打上勾,然后点击“下一步”进入下一安装界面,系统将开始进行产品的安装:点击“开始安装”按钮进行安装。安装完成后,点击“

3、下一步”按钮,如图:在最后的界面中,视项目具体的应用情况,选择适当的选项,然后点击“完成”按钮结束安装:注意:安装完客户化后,必须要先打开E:ufsoftnchomebinncSysConfig.bat文件,在进行完Data Source配置后,必须马上进行Deployment配置,将所有的项选中,然后点击“生成EJB”按钮。如果不先进行这一步,将会在创建帐套的时候,提示错误。1.2 安装Oracle数据库首先启动Oracle安装程序,注意:应该如图设置Oracle的安装文件所在的目录名,必须是英文,否则会出现错误。运行setup.exe文件进行Oracle的安装,弹出安装界面如图:点击下一步

4、按钮,出现如图界面:可以按照默认设置安装,也可以修改一下安装路径,点击下一步按钮,出现如图界面:选择第一项“Oracle9i Database 9.2.0.1.0”然后点击下一步按钮,出现界面如图:在选项中,选择第一项“企业版(2.86GB)”,然后点击下一步按钮,出现界面如下:在出现的界面中选择第一项“通用”,点击下一步进行安装,在安装的过程中注意要换盘。(安装过程中的图片省略,要注意的是:安装成功后会提示输入系统管理员的用户名和密码,比如提示输入system和sys的密码)。1.3 配置Oracle数据库1.3.1 配置Net服务名安装完毕后,我们首先要配置一下Net服务名。在桌面上的开始

5、菜单中,依次打开 “程序”-“Oracle - OraHome92”-“Configuration and Migration Tools”-“Net Configuration Assistant”,如图:双击后,显示配置Net服务名的界面,如图:选择“本地Net服务名配置”,点击下一步按钮,出现界面如图:选择“添加”,点击下一步按钮,出现界面如图:默认选择“Oracle8i或更高版本数据库或服务”,点击下一步按钮,出现界面如图:在服务名中输入自己定义的服务名,比如ncdb,然后点击下一步按钮,出现界面如图:选择默认的TCP选项,然后点击下一步按钮,出现界面如图:在主机名中输入服务器的IP地

6、址,端口使用标准端口1521,然后点击下一步按钮,出现界面如图:在界面中选择“是,进行测试”,点击下一步按钮,出现界面如图:首先点击“更改登录”按钮,输入用户名和密码,然后点击确定按钮,出现“测试成功”的字样,再点击下一步按钮,出现界面如图:直接点击下一步按钮,出现界面如图:选择“否”,直接点击下一步按钮,出现界面如图:直接点击下一步按钮,出现界面如图:在出现的界面中,点击完成按钮,完成Net服务名的配置。1.3.2 在Oracle数据库中分配表空间配置完Net服务名后,需要在数据库中分配一下表空间。首先在桌面上的开始菜单中,依次打开 “程序”-“Oracle - OraHome92”-“En

7、terprise Manager Console”,如图:双击后出现如下界面:直接点击确定进入操作界面,如图:在弹出的对话框中,输入主机名(如服务器IP:192.168.51.84),输入SID和网络服务名(都输入刚才配置的Net服务名ncdb),然后点击确定按钮。显示界面如下:首先双击左边窗口中的“数据库”节点,然后双击“NCDB”节点会弹出输入用户名和密码的界面,在界面中输入系统管理员的用户名和密码system,然后点击确定按钮登录进入,显示如下界面:打开“存储”-“表空间”。在“表空间”节点上点击右键,选择“创建”,显示如图:在名称中输入NNC_DATA01,然后双击“大小”栏,弹出窗口

8、如图:在一般信息标签中,输入文件大小为1024MB,然后切换到存储标签,如图:在增量中输入32MB,然后点击确定按钮完成表空间的创建。注意:要按此操作依次创建六个表空间:NNC_DATA01,NNC_DATA02,NNC_DATA03,NNC_INDEX01,NNC_INDEX02,NNC_INDEX03,创建后如图:1.3.3 在Oracle数据库中创建用户打开“安全性”-“用户”。在“用户”节点上点击右键,选择“创建”,显示如图:在对话框中输入用户名称和口令,在“表空间”的“默认值”中,选择“NNC_DATA01”,如图:然后切换到“角色”页签,找到DBA然后点击向下的小箭头,将DBA加入

9、到下面的框中,然后将管理选项都打上对钩,如图:然后切换到“限额”页签,然后依次将NNC_DATA01,NNC_DATA02,NNC_DATA03,NNC_INDEX01,NNC_INDEX02,NNC_INDEX03设置为无限制,设置方法是选中NNC_DATA01,然后点击下面的“无限制”选项,如图:然后点击创建按钮,即可创建一个用户。1.4 NC中间件的配置和启动找到刚才NC产品的安装目录E:ufsoftnchomebin,找到ncSysConfig.bat文件,如图:1.4.1 Data Source配置双击该ncSysConfig.bat文件,在出现的左边窗口中首先选择“Data Sou

10、rce”,在右边窗口中点击“读取”按钮,然后再点击“添加”按钮,数据库类型选择ORACLE,在“数据源名称”中输入design,下面的数据库信息依次录入,如图:填写完成后,点击“确定”按钮,然后点击“测试”按钮进行数据库连接测试,如果测试通过,则点击“保存”按钮,数据库配置信息会保存到E:ufsoftnchomeierpbinprop.xml文件中。(友情提示)如果是SQLSERVER数据库,则按下图进行配置:1.4.2 Server配置然后切换到Server配置窗口,点击“读取”按钮,该窗口主要是对服务器类型及参数进行设置,一般情况下无须改动,直接保存即可,如图:1.4.3 Log配置然后切

11、换到Log配置窗口,首先在“日志配置”栏里输入服务器的IP地址,注意:点击“读取”按钮前,必须保证中间件已经启动,否则无法配置,如图:这里我们可以设置下后台日志的显示级别,如果在测试中需要查看sql语句或一些错误信息,则将级别设置为Debug,点击“保存”按钮即可。1.4.4 License配置然后切换到License配置窗口,该窗口用于最后的正式环境license授权的申请,正式上线时才能用到。首先点击“生成License”按钮,然后输入产品号(一般为八位数字,需要向实施人员询问取得),如图:输入后点击“确定”按钮,系统会在E:ufsoftnchomebin目录下生成一个hardWare.k

12、ey文件,将该文件发送给用友UFIDA,他们将为你进行产品授权。用友会给你生成一个license文件,将该文件放到E:ufsoftnchomebin目录下即可。然后可以在上面的窗口中,点击“读取License”按钮,查看产品的授权信息。1.4.5 Deployment配置该窗口是进行EJB的生成和部署的,同时可以生成客户端,生成的客户端安装程序保存到D:ufsoftnchomebinNC_Client目录下,可以进行安装。配置完毕后,返回到NC产品目录,找到startup.bat文件,双击启动中间件,如图:启动中间件后,会显示启动进度状况,如图:显示“Server startup in xxx

13、 ms”即表明中间件启动完成。接下来就可以启动IE浏览器,登陆到系统环境了。1.5 NC帐套的设置利用InternetExplore作为客户端登陆,在地址栏输入http:/127.0.0.1/login.jsp,即可看到下面的界面:输入用户名root,密码为空,登陆到系统管理帐套中,在打开的界面中点击左边列表的帐套管理选项,打开界面如下:前面建立了NC要使用的数据库,并为数据库设置了表空间信息,但这还不够,还必须为其安装NC的系统数据表和初始化数据。方法是点击上面 帐套管理 页面的“升级帐套”按钮,开始进行数据表的建立和初始化,如下图:首先选择你要安装的模块:然后开始初始化:至此,NC数据环境

14、已准备好。注销后再登陆到”学习帐套”,即进入了真正的NC系统,如下所示:2 其他业务产品安装步骤2.1 安装产品供应链模块首先找到NC50安装包中的NC_SCM目录,该目录是安装供应链产品的,找到该目录下的ncsetup.bat文件双击运行,如图:双击后会弹出对话框,如图:直接点击下一步按钮,显示界面如下:选择“同意”选项,然后点击下一步按钮,显示界面如下:在界面中输入产品安装的目录,选择中间件的安装目录即可,然后点击下一步按钮,显示界面如下:在待安装产品中,选择要安装的节点模块,然后点击下一步按钮,如图:在界面中点击“开始安装”按钮,进行产品安装,安装完毕后,点击下一步按钮退出产品安装。重新启动中间件,等待时间会稍长一

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > 总结/计划/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号