数字式电压表课程设计报告.doc

上传人:re****.1 文档编号:548130851 上传时间:2023-05-05 格式:DOC 页数:31 大小:953KB
返回 下载 相关 举报
数字式电压表课程设计报告.doc_第1页
第1页 / 共31页
数字式电压表课程设计报告.doc_第2页
第2页 / 共31页
数字式电压表课程设计报告.doc_第3页
第3页 / 共31页
数字式电压表课程设计报告.doc_第4页
第4页 / 共31页
数字式电压表课程设计报告.doc_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《数字式电压表课程设计报告.doc》由会员分享,可在线阅读,更多相关《数字式电压表课程设计报告.doc(31页珍藏版)》请在金锄头文库上搜索。

1、 单片机课程设计题 目 数字式电压表 目 录1 设计目的12 课程设计的任务与要求12.1 设计任务12.2 设计要求23 设计方案与论证24 设计原理及功能说明34.1 模数转换原理34.2 数据处理44.3 数据显示44.4 设计电路和仿真45 芯片简介65.1 ADC0808芯片65.2 AT89C51芯片95.3 LED显示系统116 单元电路的设计136.1 A/D转换电路136.2 时钟电路146.3 复位电路156.4 LED显示电路157 硬件的制作与调试168 总结18参考文献19附录1:电路原理图20附录2:元器件清单21附录3:实物图22附录4:源程序.241 设计目的随

2、着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器计数电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本章重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业

3、自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力理。本设计AT89C51单片机的一种电压测量电路,该电路采用ADC0808本文介绍一种基于A/D转换电路,测量范围直流 05V 的4路输入电压值,并在四LED数码管上显示或单路选择显示。测量最小分辨率为0.019V,测量误差约为正负0.02V。2 课程设计的任务与要求2.1 设计任务 本设计是利用单片机AT89C51(可用STC89C52替代)与ADC0808(可用ADC0809替代)设计一个数字电压表,测量05V之间的直流电压值,用四位数码管显示。2.2 设计要求 (1) 以MCS-51系列单片机为核心器件,组成一个简单的直流数字电压

4、表。 (2) 采用1路模拟量输入,能够测量0-5V之间的直流电压值。 (3) 电压显示用4位一体的LED数码管显示,至少能够显示两位小数。 (4) 尽量使用较少的元器件。 3 设计方案与论证 主控芯片: 方案1:选用专用电压转化芯片INC7107实现电压的测量和实现。用四位数码管显示出最后的转换电压结果。缺点是精度比较低,且内部电压转换和控制部分不可控制。 方案2:选用单片机AT89S51和A/D转换芯片ADC0808实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。优点是转换精度高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求,我们优先选用了:方案2显示部分:方案1:

5、选用4个单独的共阳数码管。缺点是焊接时比较麻烦,容易出错。方案2:选用一个四联的共阳数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。基于课程设计的要求,我们优先选用了:方案2硬件电路设计由6个部分组成: A/D转换电路,AT89C51单片机系统,LED显示系统、时钟电路、驱动电路以及测量电压输入电路。硬件电路设计框图如图3-1所示: ST89C51单片机 ADC 0808 转换 显示电路 模拟电压图3-1 数字电压表系统硬件设计框图4 设计原理及功能说明4.1 模数转换原理试验中,我们选用ADC0808作为模数转换的芯片,其为逐次逼近式AD转换式芯片,其工

6、作时需要一个稳定的时钟输入,根据查找资料,得到ADC0808的时钟频率在10KHZ1200KHZ,我们选择典型值640KHZ。课题要求测量电压范围是0到5V,又ADC0808的要求: V ref+=GND (4-1)故我们取: V ref+=+5V V ref-=0V (4-2) 由于ADC0808有8个输入通道可供选择,我们选择IN0通道,直接使ADC0808的A、B、C接地便可以了,在当ADC0808启动时ALE引脚电平正跳变时变可以锁存A、B、C上的地址信息。ADC0808可以将从IN0得到的模拟数据转换为相应的二进制数,由于ADC0809输出为8位的二进制数,转换时将0到5V分为255

7、等分,所以我们可以得到转换公式为x/255*5化简为x/51x为得到的模拟数据量,也就是直接得到的电压量。在AD转换完成后,ADC0808将在EOC引脚上产生一个8倍于自身时钟周期的正脉冲,以此来作为转换结束的标志。然后当OE引脚上产生高电平时,ADC0808将允许转换完的二进制数据输出。4.2 数据处理由ADC0808的转换原理可以知道我们从其得到数据还只是二进制数据,我们还需要进一步处理来的到x的十进制数,并且对其进行精度处理,也就是课题要求的的精确到小数点后两位,在这里我们用51单片机对数据进行处理。我们处理数据的思路是:首先将得到的二进制数直接除以十进制数51,然后取整为x的整数部分,

8、然后就是将得到的余数乘以10,然后再除以51,再取整为x的十分位,最后将得到的余数除以5得到x的百分位。4.3 数据显示试验中我们用到四位一体的八段数码管,所以我们只能考扫描显示来完成数码管对x的显示,我们用的是四位数码显示管,但是x只是三位的,故我们将将第四位显示为单位U,通过程序的延时,实现四位数码管的稳定显示。4.4 设计电路和仿真我们将单片机得P0口接上拉电阻后与数码管的8位段选相连,若不接上拉电阻将无法驱动数码管,用P2口得4到7位连接数码管的段选,考虑到整体的PCB布线,我选用 P3.2口作为ADC0808的时钟输出端,并用到单片机的定时器。用P3.5传输ADC0808的START

9、启动信号,用P3.6作为ALE的信号传输,P3.3作为的连接端。IN0为输入端,而ADC0808的IN1到IN7悬空,不作连接。单片机接高电平。而口从ADC0808的输出端输入数据。数字电压表电路仿真如图4-1所示:图4-1 数字式电压表仿真图此电路的工作原理是:+5V模拟电压信号通过变阻器VR1分压后由ADC08008的IN0通道进入(由于使用的IN0通道,所以ADDA,ADDB,ADDC均接低电平),经过模/数转换后,产生相应的数字量经过其输出通道D0-D7传送给AT89C51芯片的P1口,AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码传送给四位LED,同时

10、它还通过其四位I/O口P2.0、P2.1、P2.2、P2.3产生位选信号控制数码管的亮灭。此外,AT89C51还控制ADC0808的工作。其中,单片机AT89C51通过定时器中断从P2.4输出方波,接到ADC0808的CLOCK,P2.6发正脉冲启动A/D转换,P2.5检测A/D转换是否完成,转换完成后,P2.7置高从P1口读取转换结果送给LED显示出来3。简易数字直流电压表的硬件电路已经设计完成,就可以选取相应的芯片和元器件,利用Proteus软件绘制出硬件的原理,并仔细地检查修改,直至形成完善的硬件原理图。但要真正实现电路对电压的测量和显示的功能,还需要有相应的软件配合,才能达到设计要求。

11、5 芯片简介5.1 ADC0808芯片 ADC0808 主要特性:ADC0808是CMOS单片型逐次逼近式A/D转换器,带有使能控制端,与微机直接接口,片内带有锁存功能的8路模拟多路开关,可以对8路0-5V输入模拟电压信号分时进行转换,由于ADC0808设计时考虑到若干种模/数变换技术的长处,所以该芯片非常适应于过程控制,微控制器输入通道的接口电路,智能仪器和机床控制等领域。ADC0808主要特性:8路8位A/D转换器,即分辨率8位;具有锁存控制的8路模拟开关;易与各种微控制器接口;可锁存三态输出,输出与TTL兼容;转换时间:128s;转换精度:0.2%;单个+5V电源供电;模拟输入电压范围0

12、- +5V,无需外部零点和满度调整;低功耗,约15mW。ADC0808芯片有28条引脚,采用双列直插式封装,其引脚图如图5-1所示:图5-1 ADC0808引脚图 下面说明各个引脚功能: IN0-IN7(8条):8路模拟量输入线,用于输入和控制被转换的模拟电压。地址输入控制(4条):ALE:地址锁存允许输入线,高电平有效,当ALE为高电平时,为地址输入线,用于选择IN0-IN7上那一条模拟电压送给比较器进行A/D转换。 ADDA,ADDB,ADDC:3位地址输入线,用于选择8路模拟输入中的一路,其对应关系如表5-1所示:表5-1 ADC0808通道选择表地址码对应输入通道 C B A 0 0

13、0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1IN0IN1IN2IN3IN4IN5IN6IN7 START:START为“启动脉冲”输入法,该线上正脉冲由CPU送来,宽度应大于100ns,上升沿清零SAR,下降沿启动ADC工作。EOC: EOC为转换结束输出线,该线上高电平表示A/D转换已结束,数字量已锁入三态输出锁存器。 D1-D8:数字量输出端,D1为高位。OE:OE为输出允许端,高电平能使D1-D8引脚上输出转换后的数字量。REF+、REF:参考电压输入量,给电阻阶梯网络供给标准电压。V cc、GND:V cc为主电源输入端,GND为接地端,一般REF+与V cc连接在一起,REF-与GND连接在一起. CLK:时钟输入端。 (1) 8路模拟通道选择开关实现从8路输入模拟量中选择一路送给后面的比较器进行比较。 (2) 地址锁存与译码器用于当ALE信号有效时,锁存从ADDA、ADDB、ADDC 3根地址线上送来的3位地址,译码后产生通道选择信号,从8路模拟通道中选择当前模拟通道。 (3) 比较器,8位开关树型A/D转换器,逐次逼近型寄存器,定时和控制电路组成8位A/D转换器,当START信号有效时,就开始对当前通道的模拟信号进行转换,转换完成后,把转换得到的数字量送到8位三态锁存器,同时通过引脚送出

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 商业合同/协议

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号