给予FPGA的模糊控制器的设计

上传人:人*** 文档编号:548106323 上传时间:2022-10-08 格式:DOCX 页数:44 大小:253.87KB
返回 下载 相关 举报
给予FPGA的模糊控制器的设计_第1页
第1页 / 共44页
给予FPGA的模糊控制器的设计_第2页
第2页 / 共44页
给予FPGA的模糊控制器的设计_第3页
第3页 / 共44页
给予FPGA的模糊控制器的设计_第4页
第4页 / 共44页
给予FPGA的模糊控制器的设计_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《给予FPGA的模糊控制器的设计》由会员分享,可在线阅读,更多相关《给予FPGA的模糊控制器的设计(44页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的模糊控制器的设计摘要模糊控制器常常应用在过于复杂和难以精确描述的系统中,本设计是在可编 程逻辑器件 FPGA 的基础上设计一种通用的双输入单输出的模糊控制器,首先对 模糊控制器的工作原理进行分析,将模糊控制器分成四个模块,误差 E 和误差变 化率 EC 通过 A/D 转换模块使模拟信号转换成为数字信号,根据信号的模糊范围 用模糊化模块进行数据模糊化,由于模糊控制查询表要由专家依据以前人工操作 的经验来确定操作定制控制规则表生成 LPM_ROM 模块,经过去模糊模块使模糊 数据变成准确的数据,然后使用自顶向下的设计方法对模糊控制器的各个模块进 行硬件描述语言VHDL分层设计,最后在

2、FPGA芯片上实现了该模糊控制器。关键词:VHDL语言,模糊控制器,FPGA,规则表FPGA-based design of fuzzy controllerAbstractFuzzy controller is often used in too complex and difficult to describe precisely the system, the design of programmable logic device in FPGA is designed based on a common two-input single-output fuzzy controller,

3、 the first of the fuzzy controller works analysis, the fuzzy controller is divided into four modules, the error E and error change rate EC through the A / D converter module to convert analog signals into digital signals, according to the fuzzy range of the signal module with fuzzy data fuzzy, due t

4、o fuzzy control query table to be manually operated by the experts based on past experience to determine the operating rules of the table generated LPM_ROM custom control module, through to the fuzzy module so that the fuzzy data into accurate data, and then use the top-down design method of fuzzy c

5、ontroller of all module hardware description language VHDL hierarchical design, and finally in the FPGA chip as the fuzzy controller.Keyword : VHDL, fuzzy control, FPGA, Rule table目录* / 1前言 第 1 章 概述1.1 EDA技术发展历程及应用1.1.1 EDA 的概念1.1.2 EDA的发展历史1.1.3 EDA的应用1.2可编程逻辑器件51.3现场可编程门阵列FPGA的介绍61.4模糊控制发展概述71.5基于

6、FPGA的模糊控制器的优势8第2章 模糊控制系统的理论基础92.1 模糊系统基础结构92.1.1 模糊控制器的结构92.1.2模糊控制的基本理论92.2模糊控制基础10第3章 模糊控制器的设计113.1 模糊控制器的设计方法113.2模数转换器ADC0809的设计113.2.1 ADC0809 芯片介绍113.2.2模数转换器ADC0809的状态图133.2.3模数转换器ADC0809的VHDL程序设计133.2.4 ADCINT 的仿真图153.3 模糊化153.3.1 模糊控制的算法组成153.3.2模糊控制表163.3.3 模糊控制规则和模糊控制查询表的分析173.3.4模糊化VHDL语

7、言程序183.4 定制 LPM_ROM 213.4.1建立.hex格式文件213.4.2 LPM_ROM 定制的 VHDL 语言程序 213.5 去模糊化233.6 完成顶层设计253.7 顶层仿真25结 论26谢 辞27参考文献28附录29外文资料翻译30前言随着电子设计技术的飞速发展,专用集成电路 (ASIC) 和用户现场可编 程门阵列( FPGA )的复杂度越来越高。数字通信、工业自动化控制等领 域所用的数字电路及系统其复杂程度也越来越高,特别是需要设计具有实 时处理能力的信号处理专用集成电路,并把整个电子系统综合到一个芯片 上。设计并验证这样复杂的电路及系统已不再是简单的个人劳动,而需

8、要 综合许多专家的经验和知识才能够完成。由于电路制造工艺技术进步非常 迅速,电路设计能力赶不上技术的进步。在数字逻辑设计领域,迫切需要 一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系 统设计工作分解为逻辑设计(前端)和电路实现(后端)两个互相独立而 又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种常 用数字逻辑电路和系统部件建成宏单元或软核库供设计者引用,以减少重 复劳动,提高工作效率。电路的实现则可借助于综合工具和布局布线工具 (与具体工艺技术有关)来自动地完成。 VHDL 和 Verilog HDL 这两种工 业标准的产生顺应了历史的潮流,因而得到了迅速的发

9、展。伴随着计算机技术的突飞猛进,出现了智能控制的新趋势,即以机器 模拟人类思维模式,采用推理、演绎和归纳等手段,进行生产控制,这就 是人工智能。其中专家系统、模糊逻辑和神经网络是人工智能的几个重点 研究热点。相对于专家系统,模糊逻辑属于计算数学的范畴,包含有遗传 算法,混沌理论及线性理论等内容,它综合了操作人员的实践经验,具有 设计简单,易于应用、抗干扰能力强、反应速度快、便于控制和自适应能 力强等优点。近年来,在过程控制、建摸、估计、辩识、诊断、股市预测、 农业生产和军事科学等领域得到了广泛应用。而在本文中主要是在模糊控制中的应用,当前用模糊算法实现控制的 方式主要有两种:一是传统的数字计算

10、机或单片机加上模糊控制算法软件; 二是利用专门设计的模糊控制芯片。前者由于使用软件编程,所以有很大 的灵活性,但是只能应用于低速的控制,其性能也逊于后者的硬件方式。 使用硬件来实现模糊控制,具有推理速度快、实时性好、便于修改模糊规 则和隶属度函数等优点。因此通过分析模糊控制的主要流程,采用模块化 的设计思想,设计出一个通用模糊控制器的结构模型,并且基于 FPGA 技 术,实现各模块的重用性和可定制性, 既克服了传统硬件无法重构的缺陷, 同时也弥补了软件实现时在实时性和稳定性方面的不足。而且模糊控制不需要控制对象的精确数学模型,是一种基于规则的控 制,依据操作人员的控制经验和专家的知识,通过查表

11、得到控制量。因此, 模糊控制器具有响应快、超调小、鲁棒性强等特点。它能够克服系统中模 型参数变化和非线性等不确定因素,在大滞后、非线性系统中得到广泛应 用。随着EDA技术得发展,FPGA在数字逻辑系统中发挥越来越重要的作 用,采用硬件描述语言的硬件电路设计方法得到了广泛应用。第 1 章 概述1.1 EDA 技术发展历程及应用1.1.1 EDA 的概念在电子设计技术领域,可编程逻辑器件 (如 PLD, GAL) 的应用,已有了 很好的普及。这些器件为数字系统的设计带来极大的灵活性。由于这类器 件可以通过软件编程而对其硬件的结构和工作方式进行重构,使得硬件的 设计可以如同软件设计那样方便快捷。这一

12、切极大地改变了传统的数字系 统设计方法、设计过程、乃至设计观念。电子设计自动化 (EDA) 是一种实现电子系统或电子产品自动化设计的 技术,它与电子技术、微电子技术的发展密切相关,吸收了计算机科学领 域的大多数最新研究成果,以高性能的计算机作为工作平台,是 20 世纪 90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机 辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术就是以 计算机为工具,在 EDA 软件平台上,根据硬件描述语言 HDL 完成的设计 文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真, 直至对于特定目标芯片的适配编译、逻辑

13、映射和编程下载等工作。设计者 的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在 EDA 工 具的帮助下和应用相应的 FPGA/CPLD 器件,就可以得到最后的设计结果。 尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便 和高效。当然,这里的所谓 EDA 主要是指数字系统的自动化设计,因为 这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已比较大。 而模拟电子系统的 EDA 正在进入实用,其初期的 EDA 工具不一定需要硬 件描述语言。此外,从应用的广度和深度来说,由于电子信息领域的全面 数字化,基于 EDA 的数字系统的设计技术具有更大的应用市场和更紧迫 的需求性

14、。1.1.2 EDA 的发展历史EDA 技术的发展始于 70 年代,至今经历了三个阶段。电子线路的 CAD( 计算机辅助设计 )是 EDA 发展的初级阶段,是高级 EDA 系统的重要 组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设 计电子系统的电路图、印制电路板和集成电路板图;采用二维图形编辑与 分析,主要解决电子线路设计后期的大量重复性工作,可以减少设计人员 的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。这类专 用软件大多以微机为工作平台,易于学用,设计中小规模电子系统可靠有 效,现仍有很多这类专用软件被广泛应用于工程设计。 80 年代初期, EDA 技术开始技

15、术设计过程的分析,推出了以仿真 (逻辑模拟、定时分析和故障 仿真 )和自动布局与布线为核心的 EDA 产品,这一阶段的 EDA 已把三维图 形技术、窗口技术、计算机操作系统、网络数据交换、数据库与进程管理 等一系列计算机学科的最新成果引入电子设计,形成了CAE 计算机辅助工程。也就是所谓的 EDA 技术中级阶段。其主要特征是具备了自动布局 布线和电路的计算机仿真、 分析和验证功能。 其作用已不仅仅是辅助设计, 而且可以代替人进行某种思维。 CAE 这种以原理图为基础的 EDA 系统, 虽然直观,且易于理解,但对复杂的电子设计很难达到要求,也不宜于设 计的优化。 中国微电子网 -集成电路设计 ,

16、集成电路工艺 ,集成电路版图 ,半 导体技术,半导体器件,芯片封装与测试,所以, 90 年代出现了以自动综合 器和硬件描述语言为基础,全面支持电子设计自动化的 ESDA( 电子系统设 计自动化 ),即 EDA 阶段、也就是目前常说的 EDA. 过去传统的电子系统电 子产品的设计方法是采用自底而上 (Bottom_ Up) 的程式,设计者先对系统 结构分块,直接进行电路级的设计。这种设计方式使设计者不能预测下一 阶段的问题, 而且每一阶段是否存在问题, 往往在系统整机调试时才确定, 也很难通过局部电路的调整使整个系统达到既定的功能和指标,不能保证 设计一举成功。 EDA 技术高级阶段采用一种新的设计概念 :自顶而下 (Top_ Down) 的设计程式和并行工程 (Concurrent en

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号