处理器介绍比较及芯片.docx

上传人:新** 文档编号:547396297 上传时间:2023-12-01 格式:DOCX 页数:20 大小:61.87KB
返回 下载 相关 举报
处理器介绍比较及芯片.docx_第1页
第1页 / 共20页
处理器介绍比较及芯片.docx_第2页
第2页 / 共20页
处理器介绍比较及芯片.docx_第3页
第3页 / 共20页
处理器介绍比较及芯片.docx_第4页
第4页 / 共20页
处理器介绍比较及芯片.docx_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《处理器介绍比较及芯片.docx》由会员分享,可在线阅读,更多相关《处理器介绍比较及芯片.docx(20页珍藏版)》请在金锄头文库上搜索。

1、8018616位微处理器 片内含有8086-2中央处理器、时钟发生器、2个DMA通道、中断控制器、3个16位计时器、片选逻辑、等待状态发生器、3个16位计时器、片选逻辑、等待状态发生器、逻辑总线控制器;性能为8086的2倍;总线带宽为4M字节/秒;存贮器寻址空间为1M字节;与8086、8088软件兼容,比8086、8088增加了10指令;与8282/83/86/87、8288、8289总线兼容;任一引脚对地的电压-1+7V,功耗3W。 80186引脚功能表 801888位微处理器 片内含8088-2中央处理器、时钟发生器、2个DMA通道、中断控制器、3个16位计时器、片选逻辑、等待状态发生器和

2、局部总线控制器;8位数据总线接口、16位内结构格式;存贮器寻址空间为1M字节;与8086、8088软兼容;与8282/83/86/87、8288总线兼容;任一引脚对地的电压-1+7V,功耗3W。 80188引脚功能表 80386DX4032位微处理器 CMOS工艺;时钟频率范围840MHz;832位结构;寻址范围4G字节;可变的8位、16位、32位数据类型;VCC=+5V;132脚PGA封装。 80386引脚功能表 8042/87428位微控制器 12MHz时钟;管脚、软件和结构格式与8041/8741兼容;8位CPU;20488位ROM(8042)/EPROM(8742)、1288位RAM;

3、8位定时器/计数器;18根可编程I/O线;用作异步主从接口的1个8位状态寄存器和2个8位数据寄存器;可扩充I/O;超出90条指令,70单字节;任一引脚对地电压-0.5+7V,功耗1.5W。 8044/8344/8744RUPI-44系列通信控制器 带有串行通信控制器的高性能8位单片机,8044由8051和SIU(串行接口单元)构成,集成了8051微控制器和智能化、高性能的串行接口单元,片内的SIU和微控制器同时工作,SIU本身可独立完成SDLC/HDLC通信功能而不需CPU干预。8044指令系统与8031完全兼容,引脚也完全兼容。8344、8744分别由8031加SIU、8751加SIU构成。

4、 8051/8031/8751、80C51/80C31/87C518位微控制器 8051内含8位CPU;广泛的布尔处理能力;32根双向分别可寻址/计数器;全双工异步串行口(UART);2个中断优先级;5个中断源;片内有时钟振荡器;4K字节片内ROM程序贮存器;可寻址64K字节外部程序贮存空间;可寻址64K字节外部数据贮存宣空间;无片内ROM的8051形式是8031,EPROM型的8751、8051AH、8031AH、8751AH是采用HMOS工艺制造,其所有管脚与8051完全兼容。无ROM型的8051AH是8031AH,EPROM型的8051是8751AH。 8052AH/8032AH/875

5、2AH8位微控制器 8052AH是增强型的8051,采用HMOSII技术,与8051功能兼容,和8051相比有以下改进;256字节片内ROM;3个定时器/计数器、6个中断源、8K字节片内ROM。无ROM型的8052AH是8032AH,EPROM型的8052AH是8752AH。 8096、8096BHMCS-96系列16位微控制器 16位CPU,没有累加器,采用寄存器-寄存器结构;232字节寄存器阵列;4/8通道10位A/D转换器;5个8位的I/O;高速I/O子系统;可供用户使用的8个中断源;脉宽调制输出;全双工串行口;波特率发生器;16位监视定时器;4个16位的软件定时器;2个16位的计数器/

6、定时器;6.25s的16位乘16位和32位除16位指令;部分3操作数指令;运行时可以对FPROM编程;ROM/FPROM的内容可加密,8096BH中多一个芯片配置寄存器(CCR),用来设置数据总线的宽度。8096BH的A/D转换速度(22s)高于8096的速度(42s)。 MS96系列产品一览表 MS96系列有48引脚DIP封装和68脚PLCC、PGA、LCC3种形式封装结构。 PLCC、PGA/LCC封装的引脚功能 8155/56、8155H/56H通用接口电2568位静态RAM;2个可编程8位I/O口和1个可编程6位I/O口;可编程14位定时器/计数器;与8085和8088CPU兼容;多路

7、传输地址和数据总线;内部地址锁存;单+5V电源;任一引脚对地的电压-0.5+7V。8155H、8156H为HMOS产品。8155与8156的区别在于8155片选信号输入为/CE,而8156为CE。8155的RAM存取时间为400ns,8155-2的存取时间为330ns,8155的功耗1.5W。 82062硬盘控制器 能控制采用SA1000或ST506接口标准的温切斯特硬盘驱动器;数据传输速率5M位/秒;扇区长度可任选128、256、512和1024字节/扇区;有恢复、寻找、读扇区、写扇区、检索ID场和写格式化信息6条高级指令;多扇区传送能力;在读/写扇区命令中隐含寻柱面的功能;每个扇区设置7字

8、节长度扩充区域,供用户设置外部的ECC纠错码;单+5V电源。 8207动态RAM控制器 可控制16K、64K和256K动态RAM;直接寻址和驱动达2M字节,无需外部驱动器;支持单/双通道结构格式;5种可编程刷新模式;采用ECC模式的透明存贮器清除;支持Iapx86、88、186和286微处理器;提供信号直接控制8206误差检测和校准;支持同步或异步操作(在任一通道);采用HMOS技术制造。 8027引脚功能表 8237A、82C37-5、AM95A直接存贮存取(DMA)控制器 INTEL8237A是8257的优化产品。4个独立的DMA通道;优先权固定或轮转的DMA请求逻辑;地址自动加1或减1;

9、5MHz8237-2传送速度达1.6M字节/秒;可直接扩充至任意数目的DMA通道;有过程结束信号输入端;软件DMA请求,对DREQ和DACK信号的极性可独立控制;任一引脚对地电压-0.5+7V。82C37A-5、KS82C37A、MB89237A、pd71037为CMOS产品,工作电流1520mA,其他工作电流为150mA。 8251、COM8251A、CXQ71051串行通信接口电路 同步和异步操作;可根据方式指令码的设定传送58位数据;对于异步通信,时钟步频率可设为波特率的1、16、64倍,可设定1、1.5或2个停止位,异步波特率为09.6K波特;对于同步通信,可用内部或外部字符同步,同步

10、波特率为056K波特;全双工、双缓冲器的发送器和接收器;可设定奇偶校验的方式,也可不校验;与8080/8085CPU完全兼容;单+5V电源;任一引脚对地的电压-0.57V;CXQ71051、MB89251A、SMC82C51AC、TMP82C51AP、MPD71051为CMOS产品,工作电流510mA,其他型号工作电流100mA。 8253、TMP82C53可编程间隔计数器 8253-5与MCS-85兼容;有3个独立的通道,每通道均为16位计数器,其计数频率均可达2.6MHz;二进制或十进制(BCD)计数;单+5V电源;任一引脚对地的电压-0.5mA其他型号工作电流140mA。 82530串行

11、通信控制器 2个独立的全双工通道;用4MHz时钟,数据传输率1M位/秒(FM编码)、125K位/秒(NRZI编码);异步操作,每字符58位,1、1.5或2个停止位奇偶校验位,1、16、32、64倍的时钟模式,奇偶、溢出和成帧出错测试;字符同步,内部或外部字符同步,同步字符的自动插入和删除,CRC产生或测试、6位或8位的同步字符;NRZ、NRZI或FM编码或译码;每个通道有独立的波特率发生器;具有时钟恢复能力的数字锁相环;SDLC/HDLC性能,异常中止序列的产生和检测,零位自动插入和删除,地址字段识别,I字段剩余管理,CRC产生或测试,具有EOP识别/循环入口和出口的SDLC方式。 8254、

12、82C54、CXQ71054可编程间隔定时器 与大多数8085A、iAPX886等微处理器兼容;技术频率从支流到8MHz(8254-2为10MHz);6种可编程计数器方式;3个独立的16位计数器,二进制或十进制(BCD)计数;任一引脚对地电压-0.57V。8254的工作电流170MHz,其他型号为CMOS产品,工作电流1030mA。 8255、82C55A、CXQ71055可编程外围并行接口电路 82C55A-5与MCS-85兼容,3个8位的并行I/O口;3种工作方式可灵活编程;与INTEL公司的微处理器系列兼容;任一引脚对地的电压-0.57V;8255工作电流120MHz,其他型号的CMOS

13、产品,工作电流10mA。 8256通用异步接收器/发送器 58位字符,带停止位和奇偶校验的可编程串行异步通信接口;具有19.2K位/秒的13种共波特率或一种最大值为1M位/秒的外波特率时钟的可编程发生器;5个8位可编程定时器/计数器;4个能连接成2个16位定时器/计数器;2个8位可编程并行I/O通道;8级优先权中断控制;VCC和输入电压-0.57V,功耗1W。 8257、PD8257可编程DMA控制器 8257-5与MCS-85兼容;4通道DMA控制器;有优先级DMA请求逻辑和通道禁止逻辑;有计数终止和模128两种输出;自动装入方式;只需一个TTL时钟信号;单+5V电源;VCC和输入电压-0.

14、57V 82586局域网络控制器 可与以太网和IEEE802协议完全兼容;完全支持以太网络的数据连接;可选用非以太网络的应用方式;有高效高速传送数据、状态的指令的4条DMA通道;有保证网络可靠工作的完整的诊断系统;可支持CSMA/CD方式;输入独立的8MHz系统时钟。 8259A、82C59A、AM8259A可编程中断控制器 与iAPX86、iAPX88兼容;与MCS-80、MCS-88兼容;8级优先权控制器,可扩充至64级;可编程中断方式;单个请求屏蔽或特殊屏蔽方式;全嵌套或特殊嵌套方式;优先权固定或轮转;单+5V电源,无需时钟输入;任一引脚对地的电压-0.57V;82C59A、AM8259

15、A、CXQ71059、KS82C59、MB82C59A、PD71059C为COMS产品,工作电流58mA,其他型号85mA。 8271可编程软盘控制器 用作微处理器和软盘驱动器之间的控制;与IBM3740软扇区格式兼容;可编程的记录长度,128、256、512或1024字节/扇区;多扇区的传送能力;具有最少软件开销的双驱动器可扩展为4驱动器;读/写头的自动定位和校验;内部CRC的产生和校验;可编程的步进速率、稳定时间、磁头加载时间、磁头卸载前索引信号的计数;与MCS-80和MCS-85完全兼容;单+5V电源;任一引脚对地的电压-0.57V,功耗1W。 8272、PDC72C56软盘控制器 单/

16、双密度记录格式与IBM兼容;可编程数据记录长度,128、256、512和1024字节/扇区;多扇区和多磁道传输能力;可驱动4个软盘;采用DMA或非DMA方式进行数据传送;单相8MHz时钟;单+5V电源;所有输入和输出电压-0.57V;FDC72C65、TC8565、WD37C65/A/B、PD72065为CMOS产品,工作电流1045mA,其他型号150mA。 82720图形显示控制器 显示由低到高各种分辩率的图像;绘出字符、点、线、弧和矩形等图形;支持单色、灰色或彩色显示器;通过一个512K字节显示存储器的窗口;放大图像;可编程屏显示、消隐和同步形式;与INTELMC5-51和iAPX8886/86/186系列微处理器兼容;支持图形、

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号