2023年EDA技术试题库.doc

上传人:s9****2 文档编号:546296000 上传时间:2024-02-29 格式:DOC 页数:128 大小:560.04KB
返回 下载 相关 举报
2023年EDA技术试题库.doc_第1页
第1页 / 共128页
2023年EDA技术试题库.doc_第2页
第2页 / 共128页
2023年EDA技术试题库.doc_第3页
第3页 / 共128页
2023年EDA技术试题库.doc_第4页
第4页 / 共128页
2023年EDA技术试题库.doc_第5页
第5页 / 共128页
点击查看更多>>
资源描述

《2023年EDA技术试题库.doc》由会员分享,可在线阅读,更多相关《2023年EDA技术试题库.doc(128页珍藏版)》请在金锄头文库上搜索。

1、EDA试题库建设70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。基础题部分填空题(140空)1一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。 2EDA设计流程涉及 (设计准备) 、(设计输入)、 (设计解决) 和(器件编程) 四个环节。 3时序仿真是在设计输入完毕之后,选择具体器件并完毕布局、布线之后进行的时序关系仿真,因此又称为 (功能仿真)。 4VHDL的数据对象涉及 (变量) 、(常量) 和 (信号),它们是用

2、来存放各种类型数据的容器。 5图形文献设计结束后一定要通过(仿真),检查设计文献是否对的。 6以EDA方式设计实现的电路设计文献,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完毕硬件设计和验证。 7MAX+PLUS的文本文献类型是(.VHD) 。 8在PC上运用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。 9VHDL源程序的文献名应与(实体名)相同,否则无法通过编译。10.常用 EDA 的设计输入方式涉及(文本输入方式)、(图形输入方式)、(波形输入方式) 。 11.在 VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。 12.将硬件

3、描述语言转化为硬件电路的重要工具软件称为 (HDL 综合器)。 13、VHDL 的数据对象分为(常量)、(变量)和(信号)3 类。 14、VHDL 的 操作 符 涉及 (算术 运算 符 )和 (符号运算符) 。15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。 16、VHDL基本语句有(顺序语句)、 (并行语句)和属性自定义语句。 17、VHDL 同或逻辑操作符是 (XNOR) 。 18、原理图文献类型后缀名是(.GDF),Verilog HDL语言文本文献类型的后缀名是(.V )。 19、十六进制数16#E#E1相应的十进制数值是(224)。 20、一个完整的

4、VHDL程序应包含三个基本部分,即库文献说明、(程序包应用说明)和(实体和结构体说明)。 21、VHDL 不等于关系运算符是 ( /= ) 。 22、STD_LOGIC_1164程序包是 (IEEE ) 库中最常用的程序包。 23文本输入是指采用(硬件描述语言) 进行电路设计的方式。24当前最流行的并成为IEEE标准的硬件描述语言涉及(vhdl) 和 (verilog) 。25采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上) 的设计。26硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。27.EDA工具大

5、体可以分为(设计输入编辑器) 、(仿真器) 、 (hdl综合器) 、 (适配器) 以及 (下载器) 等5个模块。28将硬件描述语言转化为硬件电路的重要工具软件称为(综合器) 。29用MAX+plusII输入法设计的文献不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文献的(工程) 。30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(block diagram/Schematic)命令方式。31若在MAX+plusII集成环境下,执行文本输入设计方法,应选择(.vhd) 方式。32maxplus2max2libprim是MAX+plusII

6、 (基本) 元件库,其中涉及(门电路)、(触发器)、(电源)、(输入)、(输出)等元件。33maxplus2max2libmf是 函数 元件库,涉及(加法器)、(编码器)、(译码器)、(数据选择器数据)、(移位寄存器)等74系列器件。34图形文献设计结束后一定要通过(编译) ,检查设计文献是否对的。35在MAX+plusII集成环境下可以执行(生成元件) 命令,为通过编译的图形文献产生一个元件符号。这个元件符号可以被用于其他的图形文献设计 ,以实现(多层次)的系统电路设计。36.执行MAX+p1us Il的“Timlng Analyzer”命令,可以 设计电路输入与输出波形间的(延时量)。37

7、.指定设计电路的输入输出端口与目的芯片引脚的连接关系的过程称为(端口映射) 。38MAX+plusII的波形文献类型是(.swf) 。39层次化设计是将一个大的设计项目分解为若干个(子项目)或者若干个(层次)来完毕的。先从(顶层)的电路设计开始,然后在(顶层) 的设计中逐级调用(底层) 的设计结果,直至实现系统电路的设计。40. 一个项目的输入输出端口是定义在(实体中)中。41. 描述项目具有逻辑功能的是(结构体) 。42. 关键字ARCHITECTURE定义的是 (结构体) 。43. 1987标准的VHDL语言对大小写(不敏感)。 44. 关于1987标准的VHDL语言中,标记符必须以(英文

8、字母)开头。 45.VHDL语言中变量定义的位置是(结构体中特定位置 )。 46. VHDL语言中信号定义的位置是(结构体中特定位置)。 47. 变量赋值号是( := ),信号赋值号是( ”不是操作符号,它只相称与(THEN)作用。61. assignpin/location chip命令是MAXPLUSII软件中(引脚锁定)的命令。62. 在VHDL中,可以用语句(clock event and clock=0) 表达检测clock下降沿。63. 在VHDL中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为(8)次。64. 在VHDL中,PROCESS结构内部是由(顺序)语

9、句组成的。65. 执行MAX+PLUSII的(Simulator)命令,可以对设计的电路进行仿真。66. 执行MAX+PLUSII的(Compiler)命令,可以对设计的电路进行编译。67. 执行MAX+PLUSII的(Programmer)命令,可以对设计的电路进行下载。68. 在VHDL中,PROCESS自身是(并行)语句。69. 在元件例化语句中,用( = )符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP中的信号名关联起来。70.在MAX+PLUSII集成环境下为图形文献产生一个元件符号的重要作用是(被高层次电路设计调用)。71.在MAX+PLUSII工具软件中,完毕

10、网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文献汇编等操作,并检查设计文献是否对的的过程称为(综合)。72在VHDL中,IF语句中至少应有1个条件句,条件句必须由 (BOOLEAN) 表达式构成。73. 在VHDL中(变量)不能将信息带出对它定义的当前设计单元。74.在VHDL中,一个设计实体可以拥有一个或多个(结构体)。75. 在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有(9)种逻辑值。76在VHDL中,用语句(clockEVENT AND clock=1 )表达clock的上升沿。 77、仿真是对电路设计的一种(间接的)检测方法。 78. Q

11、uartus II中建立设计项目的菜单是( “File”“New Project Wizard” )。 79.执行Quartus II的( Create Update / Create Symbol Files for Current File )命令,可认为设计电路建立一个元件符号。 80.使用Quartus II的图形编辑方式输入的电路原理图文献必须通过(编译)才干进行仿真验证 81. Quartus II的波形文献当中设立仿真时间的命令是(Edit/ Time Bar )。 82. 完整的IF语句,其综合结果可实现(组合逻辑电路)。 83. 描述项目具有逻辑功能的是(结构体)。84pro

12、tel原理图设计时,按下(Q)键可实现英制和公制的转换。 85在VHDL语言的程序中,注释使用(-)符号。86protel原理图设计时,按下(E+M+M键)快捷键可实现“移动功能”。 87.在放置元器件的过程按下(TAB )键可以调出元件属性对话框。 88. 40mil大约等于( 0.001 )m。 A、 B、0.001cm C、0.001inch D、0.001mm 89.通常所说的几层板指的是(钻孔图层)的层数。 90.执行(Align Top )命令操作,元器件按顶端对齐。 91.执行(Align Bottom )命令操作,元器件按底端对齐. 92.执行(Align Left )命令操作

13、,元器件按左端对齐. 93.执行(Align Right )命令操作,元气件按右端对齐. 94.原理图设计时,实现连接导线应选择(Place/Wire )命令. 95.要打开原理图编辑器,应执行(Schematic)菜单命令. 96.进行原理图设计,必须启动(Schematic )编辑器。97.使用计算机键盘上的(Page Down )键可实现原理图图样的缩小。 98.往原理图图样上放置元器件前必须先(装载元器件库 )。 99.执行(Tools/Preferences )命令,即可弹出PCB系统参数设立对话框。 100.在印制电路板的(Keep Out Layer )层画出的封闭多边形,用于定

14、义印制电路板形状及尺寸。 101.印制电路板的( Silkscreen Layers )层重要用于绘制元器件外形轮廓以及标记元器件标号等。该类层共有两层。 102.在放置元器件封装过程中,按(Y)键使元器件封装旋转。 103.在放置元器件封装过程中,按(X )键使元器件在水平方向左右翻转。 104.在放置元器件封装过程中,按(Y )键使元器件在竖直方向上下翻转。 105.在放置元器件封装过程中,按(L )键使元器件封装从顶层移到底层。 106.在放置导线过程中,可以按( Back Space )键来取消前段导线。 107.在放置导线过程中,可以按(Shift+Space )键来切换布线模式。 108

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > PPT模板库 > 其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号