基于CPLD智能小车循迹.doc

上传人:re****.1 文档编号:545922461 上传时间:2022-10-20 格式:DOC 页数:18 大小:671KB
返回 下载 相关 举报
基于CPLD智能小车循迹.doc_第1页
第1页 / 共18页
基于CPLD智能小车循迹.doc_第2页
第2页 / 共18页
基于CPLD智能小车循迹.doc_第3页
第3页 / 共18页
基于CPLD智能小车循迹.doc_第4页
第4页 / 共18页
基于CPLD智能小车循迹.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《基于CPLD智能小车循迹.doc》由会员分享,可在线阅读,更多相关《基于CPLD智能小车循迹.doc(18页珍藏版)》请在金锄头文库上搜索。

1、基于CPLD智能小车循迹扬州大学能源与动力项目学院本科生课程设计题目:智能小车循迹控制系统课程:电子技术专业:班级:学号:姓名:*指导教师:郑老师完成日期:20年11月26日/目录1.任务及要求课程任务及其功能3设计要求3课程设计的时间安排32.整体方案及特色智能小车循迹整体方案4设计的思路及其特色43. 各构成部分的电路结构及工作原理红外循迹模块设计4电源模块设计6CPLD模块设计6驱动模块设计7直流电机84.系统硬件电路设计105. CPLD控制模块内个单元模块的设计PWM10主要控制模块116. CPLD控制模块的顶层电路图原理图14仿真波形147.系统总装置图158.实验照片159.实

2、验结果解析1610.调试中出现的问题及解决1611.改进建议及收获领悟1712.器械1713.使用仪器设备1814.参照文件18一、任务及要求1、课程任务及其功能设计智能小车循迹控制系统1)小车可完成行进、转向等行驶方式;2)小车在底盘安装四组红外传感器;3)系统依据红外传感器供应的信息测算小车与地面深色路径的偏离程度;4)当小车偏离地面深色路径时自动以转小弯、转大弯的运动方式调整小车的行进轨迹,完成自动循迹的运动方式。2、设计要求1)要求用可编程逻辑器件FPGA/CPLD)设计实现;2)在实验箱上或印刷电路板上安装、调试出所设计的电路;3)在EDA编程实验系统上完成硬件系统的功能仿真;依据设

3、计任务书给定的技术指导和条件,进行检查研究、查阅参照文件,进行屡次比较和可行性论证,确立出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。2电路设计:(2天依据方案设计框图,并画出各单元电路的详细电路图及整体电路图。3电路仿真:装置图设计:(1天依据给定的元器件,结合逻辑电路图,设计出电路制作的详细装置图(即绘出组件数目,管脚号以及器件部署的实质地址。同时配以必需的文字说明。5)电路制作:(2天对选定的设计,按装置图进行装置,调试实验。6总结判断:(1天核查样机能否全面达到现定的技术指标,能否长远靠谱地工作,并写出设计总结报告。二、整体方案及特色1、智能小车循迹整体方

4、案电源模块驱动模块CPLD控制模块左右寻迹信号直流电机2、设计的思路及其特色红外寻迹模块在智能寻迹小车控制系统的设计中,以CPLD为核心,用L298N驱动两个减速电机,当产生信号驱动小车行进时,是经过寻迹模块里的红外对管能否寻到黑线产生的电平信号经过电压比较器LM339返回到CPLD,而后CPLD依据程序设计的要求做出相应的判断送给电机驱动模块,让小车来实现行进、左转、右转、泊车等基本功能。三、各构成部分的电路结构及工作原理1、红外寻迹模块的设计1)电路结构发射部分接收部分2)工作原理该系统中的寻线模块我们采纳的是红外传感器。它有一个发射管白色)和一个接收管黑色),一般状况下接收管能收到发射管

5、发送的红外光,但当遇到吸光介质如黑色物体)时接收管便不可以收到发射管的红外光。由于传感器输出端获取的是模拟电压信号,所以在输出端增添了电压比较器LM339,先将输出电压与2.5V进行比较正常行进当寻迹板中间两个传感器检测到白线,小车都正常行进。2)左小拐弯当只有左端第2个传感器检测到黑线,其余3个传感器检测到白色时,小车应左小拐弯。3)左大拐弯当只要左端第1个传感器检测到黑线,右端2个传感器检测到白色时,小车应左大拐弯。4右小拐弯当只有右端第2个传感器检测到黑线,其余3个传感器检测到白色时,小车应右小拐弯。5右大拐弯当只要右端第1个传感器检测到黑线,左端2个传感器检测到白色时,小车应右大拐弯。

6、6)泊车当4个传感器同时检测到黑线或其余状况,小车泊车。4、驱动模块设计1)电路图2)工作原理从CPLD输出信号功率很弱,即使在没有其余外在负载是也没法带动电机,所以在实质电路中我们加入了电机驱动芯片提高输入电机信号的功率,从而可以依据需要控制电机转动。依据驱动功率大小以及连接电路的简单化要求选择L298N为直流电机驱动芯片。L298N是SGS公司的产品,内部包括4通道逻辑驱动电路,是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。其引脚摆列以以下图所示,1脚和15脚可单独引出连接电流采样电阻器,形成电流传感

7、信号。L298N可驱动2个电机,OUTl、OUT2和OUT3、OUT4之间分别接2个电动机。5、10)、IN1(IN3)IN2(IN4电机运转状况HHL正转HLH反转H同IN2(IN4同IN1(IN3)快速停止LXX停止其引脚图如图1所示:引脚介绍:第1、15脚:可单独引出连接电流采样电阻器,形成电流传感信号,也可直接接地。第2、3脚:A电机输出端口。第4脚:接逻辑控制的+5V电源。第6脚:A桥使能端口。第5、7脚:输入标准TTL电点平对A桥的输出OUT1、OUT2进行控制。第8脚:接电源地。第9脚:接电机驱动电源,最高可达50V。第11脚:B桥使能端口。第10、12脚:输入标准TTL电平对B

8、桥的输出OUT3、OUT4进行控制。第13、14脚:B电机输出端口。5、直流电机1)实物图2)原理由于一个驱动芯片L298N可驱动两个直流电机,可我们此次购买的小车有四个直流电机,所以我们采纳两两并联的方法,即左边两个并联,右边两个并联。这样就可以使每一侧的两个电机步伐一致起来,便于控制。4. 系统硬件电路设计各模块的硬件连接关系)电源模块驱动模块CPLD控制模块左右寻迹信号直流电机5.CPLD控制模块内各单元模块的设计红外寻迹模块1、PWMVHDL程序libraryieee。entitypwmisport(clk:instd_logic。a:instd_logic_vector(3downt

9、o0。pwmout:outstd_logic。endpwm。architecturecondofpwmissignalcount:std_logic_vector(3downto0。beginprocess(clkbeginif(rising_edge(clkthenif(count=1001thencount=0000。elsecount=count+1。endif。if(countthenpwmout=1。elsepwmout原理图PWM是建立在十进制加减计数器上的分频结构,在内计数个数小于A时输出高电平1,不然输出元。CLK上升沿从09的范围0,其相当于速度控制单(3仿真波形2、主要控制模块(1)原理和功能依据小车前面的四个红外传感器所检测到的信号控制两端电机的转速。1)当小车需要

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号