序列检测器仿真 verilog.doc

上传人:工**** 文档编号:544711122 上传时间:2023-02-03 格式:DOC 页数:10 大小:802.51KB
返回 下载 相关 举报
序列检测器仿真 verilog.doc_第1页
第1页 / 共10页
序列检测器仿真 verilog.doc_第2页
第2页 / 共10页
序列检测器仿真 verilog.doc_第3页
第3页 / 共10页
序列检测器仿真 verilog.doc_第4页
第4页 / 共10页
序列检测器仿真 verilog.doc_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《序列检测器仿真 verilog.doc》由会员分享,可在线阅读,更多相关《序列检测器仿真 verilog.doc(10页珍藏版)》请在金锄头文库上搜索。

1、0101/110序列检测器仿真1. 实验目的熟悉Modelsim仿真软件的使用方法,了解状态机的建模方法,使用ModelSim仿真QuartusII工程。2. 实验内容l 用HDL语言的输入方式,实现0101/110序列检测器。l 用modelsim进行仿真l 下载至DE0开发板上观察实验结果3. 代码分析(以0101序列检测器为例)1) 状态图如下:St0St1St3St2St0St1St20/01/00/01/11/00/00/01/00/00/01/01/01/00/1图3.1 0101(左)/110(右)序列检测状态图2) 主模块中首先定义了本次实验的所有输入输出接口及各个状态。其中,

2、因为有4种状态,所以current为2位。/0101 Sequential detectormodule lab1a (vin,cp,ncr,vout); input vin,cp,ncr; output vout; reg vout;reg 1:0 current,next; parameter s0=2b00,s1=2b01,s2=2b10,s3=2b11; 3) 然后设置异步清零,在cp上升沿则沿触发器状态翻转。 always (posedge cp or negedge ncr) begin if (ncr) current = s0; else current Start Simulation选择所需的的测试文件点击OK后如下图点击View = Wave在Object中添加信号然后点击Run All开始仿真5. 实验结果的测试和分析0101序列检测器的仿真图形如下:110序列检测器的仿真图形如下:检验后结果正确。6. 实验总结通过这次试验,我明白了序列检测器的原理以及Modelsim的基本使用方法。7. 参考文献1) 源代码: Verilog HDL与数字ASIC设计基础 华中科技大学出版社

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号