基于eda技术的电梯控制系统设计.doc

上传人:夏** 文档编号:544616477 上传时间:2024-02-09 格式:DOC 页数:27 大小:478KB
返回 下载 相关 举报
基于eda技术的电梯控制系统设计.doc_第1页
第1页 / 共27页
基于eda技术的电梯控制系统设计.doc_第2页
第2页 / 共27页
基于eda技术的电梯控制系统设计.doc_第3页
第3页 / 共27页
基于eda技术的电梯控制系统设计.doc_第4页
第4页 / 共27页
基于eda技术的电梯控制系统设计.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《基于eda技术的电梯控制系统设计.doc》由会员分享,可在线阅读,更多相关《基于eda技术的电梯控制系统设计.doc(27页珍藏版)》请在金锄头文库上搜索。

1、黄山学院本科生毕业论文本科生毕业论文(设计)基于EDA技术的电梯控制器设计院 系: 信息工程学院 专 业: 电子信息工程 目 录中文摘要2外文摘要3引 言41. 绪 论51.1 EDA技术51.1.1 EDA的介绍与发展51.1.2 EDA的设计流程及优点51.1.3 VHDL介绍51.2 国内外研究现状及发展趋势61.2.1 电梯智能化及其实现61.2.2 接口层的实现72. 四层电梯控制器的系统设计82.1四层电梯控制器的功能及设计要求82.2四层电梯控制器的设计思路92.2.1 电梯控制器流程92.3 电梯控制器的功能122.4 电梯系统的设计123.四层电梯控制器的调试及仿真133.1

2、 MAX+PLUS的流程介绍 133.2 各模块的VHDL语言133.2.1 主控制器模块133.2.2 数据选择器模块设计163.2.3 译码器模块173.2.4 分频器模块184. 四层电梯控制器的波形仿真19结束语23参考文献24致谢25基于EDA技术的电梯控制器设计*指导老师:*(*)摘 要:电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。对于电梯的控制,传统的使用继电器-接触器系统进行控制已不能满足人们的要求。随着EDA技术的发展FPGA已经广泛运用于电子设计控制的各个方面。本设计是基于VHDL语言开发的四层电梯控制器。以Max+Plus为开发环境。其功能包括:显示电梯当前

3、所在楼层、显示有请求发生的楼层、相应楼层请求、关门延时控制、电梯开关门显示。关键字:电梯控制器;EDA;VHDL状态机The Elevator Controller Based on VHDL*Director:* (Information Engineering College, *)Abstract:The lift, as the modernized result, is entered our life in last century. For the elevator control, the traditional approach is to use relay-contact

4、or control system to control. With the development of EDA technology, FPGA has been widely use in all aspects of electronic design control. The graduation project is best on the VHDL language development of four elevator control. To Max+Plus development. Its features include: show floor where the li

5、ft current, show that the request happened floors, floor to respond to the request, closing delay setting, elevate door open display.Key Words: Elevator controller;EDA;VHDL condition machine 引 言在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅

6、市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。但是电梯行业业内都知道,电梯的核心部件是电梯控制。该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。上世纪80年代,国内电梯行业基本应用传统的继电器控制方式,电梯故障率很高。改革开放以来,国外的电梯公司纷纷登陆中国市场,在国内建立了一批有规模的合资企业,他们带来的技术比较先进。但这些外国公司对电梯控制系统的技术控制非常严格,国内的中小型企业根本没有能力与他们竞争。总的来说,我国电梯市场潜力很大

7、,但是国产电梯市场占有率不容乐观,中国电梯企业有待发展。在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。自1889美国的奥的斯升降机公司推出了世界第一部以直流电动机为动力诞生名副其实的电梯,从而彻底改写了人类使用升降工具的历史。上世纪90年代,随的升

8、降机着世界经济快速发展及经济全球化, 发达的工业化国家纷纷研制出高速及超高速电梯,电梯不仅是代步的工具,也是人类文明的标志,其技术的发展正体现了社会的进步与文明。随着电梯技术的发展,绿色化、低能耗、智能化、网络化、蓝牙技术的电梯成为一段时间内的发展趋势。1. 绪 论1.1 EDA技术 1.1.1 EDA的介绍与发展 EDA是Electronic Design Automation(电子设计自动化)的缩写,EDA技术是20世纪90年代初以来迅速发展起来的现代电子工程领域的一门新技术1。它以可编程逻辑器件(PLD)为载体,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL)作为

9、电子系统功能描述方式,以电子系统设计为应用方向的电子产品自动化设计过程。当今以数字化和网络化为特征的信息技术革命大潮中,电子技术获得了飞速发展,现代电子产品渗透到了社会的各个领域。现代电子产品的性能进一步提高,功能越来越复杂,集成化智能化程度越来越高,更新换代的节奏越来越快,开发风险也越来越大,而且正向着功能多样化,体积小型化,功耗最低化的趋势发展。所有这些,都给电子系统设计师们带来了前所未有的压力,面对这种压力,唯一的出路是熟练掌握EDA技术,并获得其的有力支持。EDA技术的出现,极大的提高了电路设计的效率和可靠性,减轻了设计者的劳动强度,EDA是电子产品开发研制的动力源和加速器,是现代电子

10、设计的核心。随着基于PLD的EDA技术的发展和应用领域的扩大和深入,它在电子信息,通信工程,自动控制及计算机应用领域的重要性日益突出。 1.1.2 EDA的设计流程及优点 EDA的设计流程如下:(1)根据设计题目要求编写相应程序代码(2)对编写的VHDL程序代码进行编译和仿真(3)利用实验箱完成硬件验证 (4)总结设计内容,完成课程设计说明书 EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。 1

11、.1.3 VHDL介绍VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardw语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987

12、)2。1993年更进一步修订,变得更加完备,成为ANSI/IEEE的Aare Descriptiong Language.翻译成中文就是超高速集成电路硬件描述NSI/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。在Max+Plus软件平台上有很多种输入方式,特别介绍VHDL是因为用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL描述电路行为的算法有很多优点: (1) 设计层次较高、用于较复杂的计算时,能早发现存在的问题,缩短设计周期。(2) 独立实现,修改方便,系统硬件描述能力

13、强。(3) 可读性好,有利于交流,适合于文档保存。(4) VHDL语言标准、规范、移植性强。(5) VHDL类型多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的 设计。 1.2 国内外研究现状及发展趋势1.2.1 电梯智能化及其实现随着EDA技术的深入发展和EDA技术软硬件性能价格比的不断提高, EDA技术的应用将向广度和深度两个方面发展。根据利用EDA技术所开发的产品的最终主要硬件构成来分,作者认为,EDA技术的应用发展将表现为如下几种形式:(1) CPLD/FPGA系统:使用EDA技术开发CPLD/FPGA,使自行开发的CPLD/FPGA作为电子系统、控制系统、信息处理系统的主

14、体。(2) “CPLD/FPGA+MCU”系统:使用EDA技术与单片机相接结合,使自行开发的CPLD/FPGA+MCU作为电子系统、控制系统、信息处理系统的主体。(3) “CPLD/FPGA+专用DSP处理器”系统:将EDA技术与DSP专用处理器配合使用,使自行开发的“CPLD/FPGA+专用DSP处理器”,构成一个数字信号处理系统的整体。(4) 基于FPGA实现的现代DSP系统:基于SOPC (a System on a Programmable Chip)技术、EDA技术与FPGA技术实现方式的现代DSP系统。(5) 基于FPGA实现的SOC片上系统:使用超大规模的FPGA实现的,内含1个

15、或数个嵌入式CPU或DSP,能够实现复杂系统功能的单一芯片系统。基于FPGA实现的嵌入式系统:使用CPLD/FPGA实现的,内含嵌入式处理器,能满足对象系统要求实现特定功能的,能够嵌入到宿主系统的专用计算机应用系统。电梯行业内都知道,电梯的核心部件是电梯控制,该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。对于电梯控制系统来说,智能化的发展将是电梯行业未来发展的必经之路。将人工智能应用到电梯控制领域是从上世纪80年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯策略的电梯在中国的使用却很晚,使用量并不是很大。这是由多种原因造成的。智能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电梯的能耗。 对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等,只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 其它文档 > 租房合同

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号