debusy教程.doc

上传人:m**** 文档编号:543817098 上传时间:2023-04-14 格式:DOC 页数:24 大小:506.50KB
返回 下载 相关 举报
debusy教程.doc_第1页
第1页 / 共24页
debusy教程.doc_第2页
第2页 / 共24页
debusy教程.doc_第3页
第3页 / 共24页
debusy教程.doc_第4页
第4页 / 共24页
debusy教程.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《debusy教程.doc》由会员分享,可在线阅读,更多相关《debusy教程.doc(24页珍藏版)》请在金锄头文库上搜索。

1、准备事项范例程序下载 (一个Verilog写的4-bit full-adder,与testbench)观念介绍Debussy是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软件主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,实时做trace,协助工程师debug。可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软件呢? 其实Debussy v5.0以后

2、的新版本,还提供了nLint - check coding style & synthesizable,这蛮有用的,可以协助工程师了解如何写好coding style,并养成习惯。下图所示为整个Debussy的原理架构,可归纳几个结论: Debussy有四个主要单元(component),nTrace、nWave、nSchema、nState nTrace - Hypertext source code analysis and browse tool (为%Debussy &所开启的主画面) nWave - Waveform analysis tool (可由nTrace内开启,或直接%nW

3、ave &开启) nSchema - Hierarchy schematic generator nState - Finite State Machine Extraction and analysis tool Debussy本身不含仿真器(simulator),必须呼叫外部仿真器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元nWave透过读取FSDB file,才能显示波形或讯号值的变化 快速上手五部曲: (Debussy v.5.2)1. Import Files and generate FSDB file 2. Trace between

4、 hierarchy browser and source code 3. Trace between hierarchy browser、source code and schematic 4. Trace between hierarchy browser、source code、schematic and waveform 5. nLint (nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提供的NOVAS原厂编写教材参考) 1. Import Files and generate FSDB file1-1 启动Debussy:% Debussy & (此处的D大小

5、写都可以,但其它指令的大小写可能就有差别) 开启nTrace window如下,此时工作目录下会新建一个DebussyLog目录 1-2 Import Files:File Import Design. 结果如下图所示:nTrace窗口中,含有三个区域,Hierarchical Brower、Source code window、Message window。 (如果不想看波形,只想查看source code与schematic的关系,您可以直接跳到step 2-1) 此时DebussyLog目录内会有Debussy.cmd、turbo.log、compiler.log三个档案。Debussy

6、.cmd纪录所有import 的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序(refer to 1-5)。1-3设定外部仿真器,藉其产生FSDB file: Tools Options Preferences.1-4 切换到Interactive Mode:Tools Interactive Mode (此时ToolBar上会出现Simulation选单) 开始执行模拟:Simulation Run/Continue (仿真结束工作目录下就会产生dump_i.fsdb )Source code目录下,要有先前ModelSim所建立的此project的wor

7、k library,不然会有错误讯息:Failed to access library work at work。另外,你不能只是开一个work数据夹,而是要真的用ModelSim产生work library,并且把design compile to the work library后,step 1-4才会正确动作。1-5 结束程序:File Exit1-6 快速启动执行序 把DebussyLog目录下的指令记录文件Debussy.cmd复制到目前工作目录下,重新编辑此档 (删掉最后一行的debExit 然后储存),引用它来开启Debussy可重复之前同样的动作程序% Debussy -pla

8、y Debussy.cmd & (结果相当于从步骤1-1做到1-4)1-7 其它启动Debussy的方法% Debussy -f xxx.f (类似开启一个事先编写的批次档,可以同时一次加载多个.v)% Debussy -vhdl -f xxx.f (类似开启一个事先编写的批次档,可以同时一次加载多个.vhd) 以上都是属于Import design from file的方法,另外还有一种方法是Import design from library。两者的差异在于: Import design from file是将design compile to RAM,而Import design fro

9、m library则是将design compile to library (default lib. is work)。 对于比较大的project,为了避免每次使用Debussy都要花时间compile,建议采用Import design fromlibrary的方 法,而且mixed-language design一定要用Import design from library的方法。Ex. for Verilog files:%vericom -inc -f run.f %Debussy -lib work -top xxx &Ex. for VHDL files:%vhdlcom -93

10、 -f run.f %Debussy -lib work -top xxx &2. Trace between hierarchy browser and source code 2-1在Hierarchy browser点击A1(FourBitAdder)左侧的符号+,可以展开四个full adder (fa0, fa1, fa2, fa3) - 2-2 试着双击Hierarchy browser内的testbed or A1 or fa0 . 右边的source code window会立即切换到相对的module 也可以利用鼠标中键,将左边的module name直接拖曳丢进右边sour

11、ce code window,效果相同。 (利用鼠标中键在不同窗口间拖曳,是Debussy中最常用的方法)2-3 双击上图source code window内的fulladder(in line 8),软件会自动帮你追踪出引用fa0的地方 结果示于下图 - A1(FourBitAdder)的fa0 (in line 32);若再双击fa0(in line 32),则又回到上图。 - 你可以藉由这个方法,轻易的追踪出project内的所有design彼此之间的关连性 :恢复上一动作2-4 除了追踪designs之间的关连性,也可以用同样的方法追踪出signals drivers and loa

12、ds。 若双击上图的讯号c_in (in line 24),将显示该讯号的所有的drive loads 结果如下图 - message window显示,有4处drive c_in,分别在add4test line 69, 71, 73, 75 用Show Next 来查看所有的drive loads (at line 69, 71, 73, 75)选定line 69, Trace Load 则可用来追踪该讯号的所有loads3. Trace between hierarchy browser、source code and schematic 3-1开启nSchema有三种方法 (nTrac

13、e) Tools New Schematic . 双击工具列上的New Schematic icon 把想看schematic的部分,直接以鼠标中键,从hierarchy browser拖曳丢进 icon (常用) 下图是把A1(FourBitAdder)丢进New Schematic icon的结果: 如果想直接看symbol fulladder的source code,先以左键选定(highlight)该组件,然后按右键,从快速选单选 择Display Source Code 选择(highlight)第一个full adder的第二条输出讯号,然后按Tools New Schematic

14、 Fan-In Cone试试 分别用来缩小/放大画面,则是让图形大小自动调整至填满整个窗口(如上图) 回前一画面; 回最上层画面 3-2双击上图内的组件符号(如第一个fulladder)可进一步看到其内容/ 细部电路组成(fa0) 3-3 请自行尝试,在hierarchy browser、source code与schematic window之间,用鼠标中键互相拖曳designs/signals的效果 4. Trace between hierarchy browser、source code、schematic and waveform 4-1接下来的操作,需要利用仿真器所产生的数据(.fsdb),以nWave显示讯号波形,有两种可能作法 从1-6(对Import files已作compile,也有其.fsdb file),接着开启nWave 从1-11-2,然后开启nWave,加载1-4所产生的dump_i.fsdb。 开启nWave的方法有三种o 按(nTrace)工具列的 (New Waveform icon) o (nTrace) Tools New Waveform o % nWave & 4-1-1 如果是从1-2接着打开nWave window

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号