数控分频器

上传人:壹****1 文档编号:543793548 上传时间:2022-10-03 格式:DOCX 页数:7 大小:60.32KB
返回 下载 相关 举报
数控分频器_第1页
第1页 / 共7页
数控分频器_第2页
第2页 / 共7页
数控分频器_第3页
第3页 / 共7页
数控分频器_第4页
第4页 / 共7页
数控分频器_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《数控分频器》由会员分享,可在线阅读,更多相关《数控分频器(7页珍藏版)》请在金锄头文库上搜索。

1、EDA课程大作业课程名称:EDA技术实用教程一VHDL版成 绩:设计题目:数控分频器院 系:电子信息与电气工程学院姓名学号:专业班级:指导教师2013年5月摘 要:随着EDA技术的高速发展,以大规模和超大规模器件FPGA/CPLD 为载体、以VHDL(硬件描述语言)为工具的电子系统设计越来越广泛。在 数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率 进行分频,以得到所需的频率。数控分频器的功能是在输入端输入不同数 据时,对输入时钟产生不同的分频比,使输出信号的频率为输入数据的函 数。他可广泛应用于数字电子系统中。用传统的设计方法设计数控分频器 时,采用具有预置数据输入端的通用计

2、数器芯片,按设计要求完成设计,其 设计过程和电路都比较复杂,尤其是当分频系数比较大时,需用多片集成 计数器和设计更复杂的控制电路来实现,且设计成果的可修改性和可移植 性都较差。基于VHDL的数控分频器设计,采用用软件的方法设计硬件的 EDA (电子设计自动化)技术。作为EDA技术重要组成部分的硬件描述语 言,VHDL是一种IEEE工业标准的建模语言。由于VHDL具有很强的电路 行为描述、系统描述能力和层次化的程序结构,用他设计的数控分频器作 为一个模块,可移植到很多数字电路系统中,且极易修改,只要修改程序中 的某几条语句,就可使最大分频系数得到改变。整个设计过程简单、快捷。 另外由于VHDL具

3、有与硬件和设计平台无关的特点,设计结果可通过众多 EDA平台下载到各种CPLD或FPGA可编程器件中,实现单片化的数字电 路,使得工作稳定、可靠。关键词:数控分频器;计数器;信号;频率一、设计背景1.1EDA技术简介EDA是电子设计自动化(Elec tronic Design Auto ma tion )的缩写, 在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、 计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的,是 以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成 设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、

4、 布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程 下载等工作。EDA技术作为现代电子设计技术的核心,它依赖功能强大的 计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL (Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完 成逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优 化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。EDA技 术使得设计者的工作几乎仅限于利用软件的方式,即利用硬件描述语言 HDL 和 EDA 软件来完成对系统硬件功能的实现。1. 2Quartus II 简介Quar t

5、us II是Alt era提供的FPGA/CPLD开发集成环境,能够完成从原 理图/HDL文本编辑到逻辑综合,再到FPGA/CPLD结构适配,最后完成时 序仿真与功能仿真到FPGA/CPLD编程设计的软件。Quartusll提供了完整 的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统 (SOPC)设计的综合性环境和SOPC开发的基础设计工具,并为Alt era DSP 开发包进行系统模型设计提供了集成综合环境,完全支持Verilog、VHDL 的设计流程,其内部嵌有Verilog、VHDL逻辑综合器,可利用第三方的综 合工具,并直接调用。二、设计原理2.1 设计目的1、学习数字

6、分频器的原理及设计方法;2、熟练掌握EDA技术,能做具体应用。2.2数控分频器原理数控分频器的功能为在不同输入信号时,对时钟信号进行不同的分 频,在输出端输出不同频率的信号。该电路可以用具有并行预置功能的加 法计数器实现,方法是对应不同的输入信号,预置数(初始计数值)设定 不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器 的状态全为1时,计数器输出溢出信号。用计数器的溢出信号作为输出信 号或输出信号的控制值,使输出信号的频率受控于输入的预置数。数控分频器原理框图如图1所示:elkx INPUTi2 ;|_FENPIN-2 :CLKQp;OUTPUT rDATAC7.0data

7、7.0 |图1数控分频器原理框图三、VHDL源代码 数控分频器的VHDL描述: library ieee;use ieee.s td_logic_1164.all;use ieee.s td_logic_unsigned.all; entity dvf isport( clk:in std_logic;d:in std_logic_vector(3 downto 0);fout:out std_logic);end;architecture bhv of dvf issignal full:std_logic;beginp_reg:process(clk)variable cnt8:std_l

8、ogic_vector(3 downto 0);beginif clkevent and clk=1thenif cnt8=1111then cnt8:=d;full=1;else cnt8:=cnt8+1;full=0;end if;end if;end process p_reg;p_div:process(full)variable cnt2:std_logic;beginif fullevent and full=1then cnt2:=not cnt2;if ent 2=, then fout二 1 ;else fout=,0,;end if;end if;end process p

9、_div;end;四、仿真结果对源程序进行编译仿真,得到仿真结果如下所示:F * 乱年工 廊孕加.卩工 泗学“叫“锄忌严 Name 3. 6T5 nsJ-765 -rL rL rL-D D D rl:二 D i -D4I-D3-D2_ D 1Four图2程序仿真结果随着D的改变,输出信号FOUT频率发生变化,在实验箱上看到LED灯的闪动频率发生了明显的变化。对此,电路的分频的功能得到验证。五、心得体会本次课程设计使我懂得了理论与实践相结合是非常重要的,只有理论 知识是远远不够的,只有把所学的理论知识与实践结合起来,从理论中得 出结论,才能真正掌握所学知识,提高自己的实际动手能力和独立思考的 能

10、力。在设计的过程中遇到问题,可以说是困难重重,这毕竟是第一次做, 难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之 处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。在设计中遇 到了很多问题,最后在老师的正确指导下,终于艰难地完成了实验设计 觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的 本次实验设计使我不仅学到了知识,而且锻炼了自己的能力,使自己对以 后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给 过我帮助的各位指导老师和所有同学再次表示衷心的感谢!本次课程设计由王立斌、马亚林、王永娇和周朝晖同学齐心协力共同 讨论完成。首先根据数控分频器

11、的工作原理写出了数控分频器的程序代 码,并用Quartusll验证了程序的正确性,完成了工程文件的仿真设计, 最后四人共同完成了工程文件截图及论文的文本内容。在本次课程设计中 王立斌同学大约完成作业的 26%,马亚林同学大约完成了 26%,周朝晖同 学大约完成了 26%,王永娇同学大约完成了 22%。参考文献1潘松,黄继业.EDA技术实用教程VHDL版第四版.北京:科学出版 社,2010.2杨刚,龙海燕.现代电子技术一一VHDL与数字系统设计M.北京:电子 工业出版社,2004.3 王彦,方艾,张清明.基于FPGA的数字波形发生器J.机械与电子,2004.4 韩波,唐广.214GHz动态CMOS分频器的设计刖.国外电子元器件.

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号