汽车尾灯控制器.doc

上传人:大米 文档编号:543676727 上传时间:2023-05-19 格式:DOC 页数:17 大小:387.50KB
返回 下载 相关 举报
汽车尾灯控制器.doc_第1页
第1页 / 共17页
汽车尾灯控制器.doc_第2页
第2页 / 共17页
汽车尾灯控制器.doc_第3页
第3页 / 共17页
汽车尾灯控制器.doc_第4页
第4页 / 共17页
汽车尾灯控制器.doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《汽车尾灯控制器.doc》由会员分享,可在线阅读,更多相关《汽车尾灯控制器.doc(17页珍藏版)》请在金锄头文库上搜索。

1、摘 要本课程设计根据计算机中状态机原理,采用EDA技术设计了简易的汽车尾灯控制器。系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。本文详细地介绍了整个设计流程。关键字 EDA;汽车尾灯控制器;时钟分频15目 录摘 要1关键字11引 言32汽车尾灯的介绍33目的和基本内容33.1 设计的目的43.2 设计的基本内容44汽车尾灯控制器的设计过程44.1系统设计指标44.2汽车尾灯控制器的工作原理44.3各组成模块原理及程

2、序54.3.1时钟分频模块54.3.2 汽车尾灯主控模块64.3.3左边灯控制模块64.3.4右边灯控制模块75系统仿真85.1分频模块仿真及分析85.2汽车尾灯主控模块仿真及分析85.3左边灯控制模块仿真及分析95.4右边灯控制模块仿真及分析105.5整个系统仿真及分析10结束语12致谢13参考文献14附录151主控模块152时钟分频模块153右边灯控制模块164左边灯控制模块161引 言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。周志召负责资料的搜集和整合,王宇翔负责

3、程序的审核和仿真,陈林负责论文的编辑和答辩。2汽车尾灯的介绍汽车尾灯,包括汽车尾部中的灯具和灯泡。其中,灯具的作用之一就是把灯泡发出来的光进行聚合再发射出去,从而达到增强灯泡发出来的光的亮度和射程;作用之二就是通过灯具的颜色改变灯泡发光颜色。灯泡目前主要有三种,一种是卤素汽车灯泡,一种是HID汽车灯泡,还有就是新兴的LED汽车灯泡。总的来说,整个汽车尾灯的设计涉及到光学、材料学、结构学等学科,如何能够最大发挥出光效的同时兼顾不同的形状、线条,与汽车的完美结合,都在考验设计师的能力。 汽车尾灯包含转向灯、刹车灯、位置灯、后雾灯、倒车灯、停车灯。其中,有些车型把刹车灯和位置灯组合在一起,做成的灯泡

4、是双丝的,如P21/5W的灯泡,当处于刹车灯状态时功率是21W,处于位置灯状态时功率是5W。后雾灯和倒车灯是这样分布的:左雾灯,右倒车灯,也就是说是单雾灯单倒车灯。转向灯和刹车灯是对称的,左右各一个。 随着led技术的进步,汽车中越来越多的部位灯开始使用由led做成的灯泡了。由于led材料环保节能、高效长寿、发光颜色纯等优势,必将会完全取代卤素灯泡。led车灯已成为一种潮流时尚趋势,如奥迪、宝马等著名品牌汽车制造商已经广泛使用led汽车灯泡。 汽车尾灯灯泡中,转向灯灯泡一般使用P21W底座;刹车灯灯泡底座一般使用P21/5W;位置灯与停车灯灯泡底座一般使用T4W或W5W;后雾灯与倒车灯灯泡底座

5、一般使用W16W或P21W。3目的和基本内容3.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。3.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽

6、车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。4汽车尾灯控制器的设计过程4.1系统设计指标根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1. 汽车尾部左右两侧各有三个指示灯;2. 汽车正常行驶时指示灯不亮;3. 汽车右转弯时右侧的第一个指示灯亮,左转弯时左侧第一个指示灯亮;4. 汽车刹车时,左右侧的第二个指示灯同时亮;5. 汽车在夜间行驶时,左右两侧的第三个指示灯同时亮,供照明使用;6. 设计时,规定1为灯亮,0为不亮。4.2汽车尾灯控制器的工作原理汽车尾灯控制器就是

7、一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。系统的整体组装设计原理如图4.1所示。图4.1 系统的

8、整体组装设计原理4.3各组成模块原理及程序汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。4.3.1时钟分频模块整个时钟分频模块的工作框图如图4.2所示。图4.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT: STD_LOGIC_VECTOR (7 DOWNTO 0);BEGINPROCESS (CLK) BEGIN IF CLKEVENT AND CLK = 1THEN COUNT = COUNT +

9、 1; END IF; END PROCESS; CP= COUNT (3);END ART;4.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图4.3所示。图4.3 主控模块工作框图汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL ISBEGIN NIGHT_LED=NIGHT; BRAKE_LEDLP=0; RP=0; LRLP=0; RP=1; LRLP=1; RP=0; LRLP=0; RP=0;LR=1; END CASE; END PROCESS;END ART;4.3.3左边灯控制模块 左边灯控制模块的工作框

10、图如图4.4所示。图4.4左边灯控制模块的工作框图左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC ISBEGIN LEDB=BRAKE; LEDN=NIGHT;PROCESS (CLK, LP, LR)BEGIN IF CLKEVENT AND CLK = 1 THEN IF (LR =0) THEN IF (LP = 0) THEN LEDL=0; ELSE LEDL=1; END IF; ELSE LEDL =0; END IF; END IF;END PROCESS;END ART;4.3.4右边灯控制模块右边灯控制模块的工

11、作框图如图4.5所示。图4.5 右边灯控制模块的工作框图右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF RC ISBEGIN LEDB=BRAKE; LEDN=NIGHT; PROCESS (CLK, RP, LR) BEGIN IF CLKEVENT AND CLK = 1 THEN IF (LR = 0) THEN IF (RP = 0) THEN LEDR =0; ELSE LEDR = 1; END IF; ELSE LEDR =0; END IF; END IF; END PROCESS;END ART;5系统仿真5.1分频模

12、块仿真及分析分频模块由VHDL程序实现后,其仿真图如图5.1所示。图5.1 分频模块仿真图对其仿真图及分频模块程序进行分析:计数器每遇到一个上升沿脉冲,计数器加1,当计数器第四位为1时,CP保持高电平状态,即CP每经过8个CLK上升沿脉冲进行一次跳变,实现左转右转时LD1、RD1闪烁。5.2汽车尾灯主控模块仿真及分析汽车尾灯主控模块由VHDL程序实现后,其仿真图如图5.2所示。图5.2主控模块时序仿真图对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RP,LP,NIGHT_LED,BRAKE_LED为输出信号。如图所示:当RIGHT为1时,产生一个RP为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出。5.3左边灯控制模块仿真及分析左边灯控制模块由VHDL程序实现后,其仿真图如图5.3所示。图5.3左边灯控制模块时序仿真图对时序仿真图进行分析:LP,LR,NIGHT,BRAKE 为输入信号,LR为0且LP为1表示左转,NIGH

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号