现代控制工程课程设计(plc和单片机一起的).doc

上传人:cn****1 文档编号:542551928 上传时间:2023-12-20 格式:DOC 页数:22 大小:1.80MB
返回 下载 相关 举报
现代控制工程课程设计(plc和单片机一起的).doc_第1页
第1页 / 共22页
现代控制工程课程设计(plc和单片机一起的).doc_第2页
第2页 / 共22页
现代控制工程课程设计(plc和单片机一起的).doc_第3页
第3页 / 共22页
现代控制工程课程设计(plc和单片机一起的).doc_第4页
第4页 / 共22页
现代控制工程课程设计(plc和单片机一起的).doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《现代控制工程课程设计(plc和单片机一起的).doc》由会员分享,可在线阅读,更多相关《现代控制工程课程设计(plc和单片机一起的).doc(22页珍藏版)》请在金锄头文库上搜索。

1、现代控制技术与实践课程设计学院:机械工程学院班级:09创新一班姓名:图迪麦提学号:0910100b01抢答器 摘要生活中抢答器用途很广泛,用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且能准确、公正、直观地判断出第一抢答者。通过抢答器的指示灯显示,指示出第一抢答者。本文采用三菱公司生产的FX2N型PLC为核心控制器进行三人抢答器系统的设计,设计出了控制电路图、程序指令、梯形图及输入输出端子的分配方案,并且用组态王进行组态。摘要21 绪论41.1 抢答器简介41.2 抢答器的分类42.1 设计要求42.2 设计思路52.3 设计方案的论证与比较62.

2、4 抢答器的程序设计62.4.1 关于PLC的设计62.4.1.1 输入输出分配表62.4.1.2 梯形图62.4.1.3接线图7报警模块功能介绍:10抢答器设计步骤-数码管显示模块1 (扫描 ):10抢答器设计步骤-数码管显示模块2(译码 ):11数码管显示模块功能介绍:12抢答器设计原理图:12抢答器仿真结果图:13结束14PLC课程设计14(一)设计任务15PLC分拣和分配线课程设计182121在学习编程过程中的心得211 绪论1.1 抢答器简介抢答器在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。通过抢答者的指示灯显示、数码显示和警示显示等手段指示出第一抢答

3、者。一般抢答器由单片机以及外围电路组成,分为八路十路等不同,八路和十路的差别是,抢答器背面的接口有几组,和外形没有关系。抢答器可以通过单片机、PLC、数字电路等实现。1.2 抢答器的分类(1)电子抢答器 电子抢答器的中心构造一般都是由抢答器由单片机以及外围电路组成,其搭配的配件不同又分为,非语音非记分抢答器和语音记分抢答器。多适用于学校和企事业单位举行的简单的抢答活动。非语音记分抢答器构造很简单,就是一个抢答器的主机和一个抢答按钮组成,在抢答过程中选手是没有记分的显示屏。语音记分抢答器是有一个抢答器的主机和主机的显示屏和选手的记分显示屏。(2)电脑抢答器 电脑抢答器又分为无线电脑抢答器和有线电

4、脑抢答器。无线电脑抢答器的构成是由:主机和抢答器专用的软件和无线按钮。无线电脑抢答器利用电脑和投影仪,可以把抢答气氛活跃起来,一般多使用于电台等大型的活动。有线电脑抢答器也是由主机和电脑配合起来,电脑在和投影仪配合起来,利用专门研发的配套的抢答器软件,可以十分完美的表现抢答的气氛。2 系统功能2.1 设计要求要求设计一台PLC控制并可供若干名选手参加比赛的智力竞赛抢答器。1)竞赛主持人接通“启动/停止”转换开关,电源指示灯亮。2)参赛者共分三个组,每组桌上设有一个抢答按钮。当主持人按下开始抢答按钮后,如果在5s内有人抢答,则最先按下的抢答按钮信号有效,相应桌上的抢答指示灯亮。3)当主持人按下开

5、始抢答按钮后,如果在5s内无人抢答,则撤销抢答指灯亮,表示抢答器自动撤销此次抢答信号。4) 当主持人再次按下开始抢答按钮后,所有抢答指示灯熄灭。5) 主持人提出问题后,按下启动按键。抢答者在最短时间内按下抢答按键,当第一个按下按键后,显示器上显示此竞赛者的号码并进行声音提示,提示其他抢答按键无效。若有人提前抢答,则显示器出现违规标志“F”以及违规者号码。具体要求与时间安排 每一学生在教师指导下,独立完成一个单片机应用系统。工作量如下:1、电路原理图(A3幅面)1张,要求Protel软件绘制;2、程序流程图(A3及以上幅面)1张;3、设计说明书(20-30页)1本,内含系统设计分析、元器件选型、

6、能编译通过的源程序(有必要的注释) 。设计内容及学时分配如下: 1、布置任务、准备参考资料 (0.5工作日) 2、原理图设计及绘制(1工作日) 1、程序设计 (2工作日) 5、撰写设计说明书 (1工作日)7、答辩 (0.5工作日)2.2 设计思路抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文采用三菱公司生产的FX2N型PLC为核心控制器进行三人抢答器系统的设计,能使三个队同时参加抢答,赛场中设有1个裁判台,3个参赛台,分别为1号、2号、3号参赛台。抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好。首先设置主持人的开始按钮S

7、B5,且设置相应的灯B0亮。其次用3个按钮分别代表3组选手的抢答按钮,如:SB6代表1组抢答选手,且相对应的B1灯亮;SB7代表2组抢答选手,且相对应的B2灯亮;SB10代表3组抢答选手,且相对应的B3灯亮。由于其中一组选手最先按下抢答按钮后,另外的两组选手抢答无效,所以要设置互锁,即在B1灯前设置B2,B3的常闭开关,在B2灯前设置B1,B3的常闭开关,在B3灯前设置B1,B2的常闭开关。并且选手的3盏灯要分别自锁,确保灯的持续点亮。当主持人按下开始按钮后,3组选手才可以抢答,要在3组选手的显示灯前设置B0的常开。题目要求当主持人按下开始抢答按钮后,如果在5s内有人抢答,则最先按下的抢答按钮

8、信号有效,相应桌上的抢答指示灯亮。如果在5s内无人抢答,则撤销抢答指示灯亮,表示抢答器自动撤销此次抢答信号。应在开始按钮SB4后接一个时间继电器T0,并且设置时间为10s,当时间继电器数够10s后断开B0(在B0前加入一个T0的常闭),3组选手抢答无效。题目要求主持人接通的是“启动/停止”转换开关,即按下一次为开始,再次按下时为停止。我想在主持人开始按钮SB5所对应的X0上接两个计数器C0和C1,让C0设置为2次,C1设置为3次。当主持人第一次按下SB5时,抢答开始,C0、C1各计数一次;当主持人第二次按下SB5时,C0计满2次,将C0的常闭开关接到Y0上,Y0断开,抢答结束;当主持人第三次按

9、下SB4,此时抢答又开始,C1计满3次时将C0、C1复位,此时C0、C1重新开始计数。当T0数够5s后,无人抢答,也将C0、C1复位,重新开始抢答。最后的结束指令END。2.3 设计方案的论证与比较与普通抢答器相比,本作品有以下几方面的优势:(1)具有PLC控制的功能。(2)具有定时功能。(3)用组态软件对抢答器工作过程控制进行组态。2.4 抢答器的程序设计2.4.1 关于PLC的设计2.4.1.1 输入输出分配表输入输出分配表介绍了PLC输入输出口与实际的抢答器的对应关系,在表中以了解到PLC各个输入输出口对实际抢答器的对应控制情况。如表4-1所示:表4-1 输入输出分配表输 入输 出名称输

10、入点名称输出点主持人按钮SB5A0抢答指示灯HLB01组抢答按钮SB6A11组显示灯HL1B12组抢答按钮SB7A22组显示灯HL2B23组抢答按钮SB10A33组显示灯HL3B32.4.1.2 梯形图梯形图方便了电气工程人员编制程序它的编制思想和继电器电路设计思想相同。如图4-1所示:图4-1 PLC梯形图2.4.1.3接线图PLC外部接线图显示了实际中的抢答器与PLC输出口的连接关系 。如图4-2所图4-2 PLC的外部接线图2.4.1.4 PLC的运行结果实验步骤一:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答。若一组选手最先抢答按SB6,指示灯Y1亮。后按下抢答器的二组选手与

11、三组选手指示灯Y2、Y3均未亮,表示没有抢到。主持人再次按下手中按钮SB5所有状态撤销。实验步骤二:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答。若二组选手最先抢答按SB7,指示灯Y2亮。后按下抢答器的一组选手与三组选手指示灯Y1、Y3均未亮,表示没有抢到。主持人再次按下手中按钮SB5所有状态撤销。实验步骤三:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答。若三组选手最先抢答按SB10,指示灯Y3亮。后按下抢答器的一组选手与二组选手指示灯Y1、Y2均未亮,表示没有抢到。主持人再次按下手中按钮SB5所有状态撤销。实验步骤四:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答

12、。过10秒,若无选手按下抢答器按钮,指示灯Y1、Y2、Y3均未亮、Y0灯熄灭灯表示无人抢答。主持人再次按下手中按钮SB5所有状态撤销。设计流程图抢答器设计步骤-报警模块 : if (s2=1 or st(2)=1)and not( st(0)=1 or st(1)=1 or st(3)=1 ) then st(2)=1; end if ; if (s3=1 or st(3)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 ) then st(3)=1; end if ;warn=st(0) or st(1) or st(2) or st(3);end if ;

13、end process p1;p2:process(states(0),states(1),states(2),states(3),light) begin if (st=0000) then states=0000; elsif (st=0001) then states=0001;elsif (st=0010) then states=0010; elsif (st=0100) then states=0011;elsif (st=1000) then states=0100; end if; light=states;end process p2;end one;library ieee

14、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xsjb is port(rst,clk2:in std_logic; s0,s1,s2,s3:in std_logic; states:buffer std_logic_vector(3 downto 0); light:buffer std_logic_vector(3 downto 0);warn:out std_logic);end xsjb ;architecture one of xsjb issignal st:std_logic_vector(3 downto 0);beginp1:process(s0,rst,s1,s2,s3,clk2) begin if rst=0 then warn=0;st=0000; elsif clk2event and clk2=1 then if (s0=1 or st(0)=1)and not( st(1)=1 or st(2)=1 or st

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号