文档详情

四位数值比较器

人***
实名认证
店铺
DOCX
100.39KB
约5页
文档ID:533052261
四位数值比较器_第1页
1/5

EDA技术课程大作业设计题目:四位数值比较器院 系:电子信息与电气工程系 学生姓学 号:200902070035专业班级:09电子信息工程(升)2010年12月9日1位数值比较器1.设计背景和设计方案1.1设计背景在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作, 然后将比较的结果送到输出端口上通常,比较器对两个输入数据进行比较可以得到三 种基本的比较结果:大于、小于和等于当然,比较器也可以得到不大于、不小于和不 等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可1.2设计方案四位数值比较器是数字电路中应用得最广泛的一种比较器一般来说,四位数值比 较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示比较器含有两个4 位位矢量输入端口 A和B,3个比较结果输出端口 GT、EQ、LT,以及3个级联输入端 口 11、12、I3其中级联输入端口的作用是用来进行芯片的级联操作通过这些端口可 以对比较器的功能进行扩展图1-2-1四位比较器实体模块A和B的关系I1I2I3GTEQLTA>BXXX100A

2、 程序library ieee;use ieee.std_logic_1164.all;entity comparator isport(A0,A1,A2,A3: in std_logic;B0,B1,B2,B3: in std_logic;I1,I2,I3: in std_logic;GT,EQ,LT: out std_logic);end comparator;architecture one of comparator issignal A_tmp,B_tmp:std_logic_vector(3 downto 0);beginA_tmp <= A3&A2&A1&A0;B_tmp <= B3&B2&B1&B0;process(A_tmp,B_tmp,I1,I2,I3)beginif(A_tmp > B_tmp) thenGT <='1'; EQ <='0'; LT <='0';elsif(A_tmp < B_tmp) thenGT <='0'; EQ <='0'; LT <='1';elsif(A_tmp = B_tmp) thenif(I2='1') thenGT <='0'; EQ <='1'; LT <='0';elsif(I1='1') thenGT <='1'; EQ <='0'; LT <='0';elsif(I3=’1') thenGT <='0'; EQ <='0'; LT <='1';end if;end if;end process;end one;3、运行结果文字描述运行程序全部成功通过,有十六个警告。

4、波形仿真图5、仿真结果文字描述当输入端口 A3A2A1A0=1111 > B3B2B1B0=0000 时,输出端口 GT=1,EQ=0, LT=0当输入端口 A3A2A1A0=0000 < B3B2B1B0=1111 时,输出端口 GT=0, EQ=0, LT=1当输入端口 A3A2A1A0 = B3B2B1B0=0000 且 12=1 时,输出端口 GT=0,EQ=1,LT=0当输入端口 A3A2A1A0 = B3B2B1B0=0000 且 11=1 时,输出端口 GT=1,EQ=0, LT=0当输入端口 A3A2A1A0 = B3B2B1B0=0000 且 13=1 时,输出端口 GT=0,EQ=0,LT=13.结果和结论程序经过运行和修改,最后终于编译成功通过波形仿真,得到了预期的结果在 编写程序时要特别注意程序中的关键字一定不能错,否则就会出错保存工程的文件夹 不能用中文名,也最好不要用数字在保存实体文件时,文件名一定要与实体名相同 否则也会出错通过这次设计,进一步加深了对EDA的了解。

下载提示
相似文档
正为您匹配相似的精品文档