七段数码管的动态扫描显示实验报告

上传人:桔**** 文档编号:513842700 上传时间:2023-01-25 格式:DOCX 页数:6 大小:38.72KB
返回 下载 相关 举报
七段数码管的动态扫描显示实验报告_第1页
第1页 / 共6页
七段数码管的动态扫描显示实验报告_第2页
第2页 / 共6页
七段数码管的动态扫描显示实验报告_第3页
第3页 / 共6页
七段数码管的动态扫描显示实验报告_第4页
第4页 / 共6页
七段数码管的动态扫描显示实验报告_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《七段数码管的动态扫描显示实验报告》由会员分享,可在线阅读,更多相关《七段数码管的动态扫描显示实验报告(6页珍藏版)》请在金锄头文库上搜索。

1、七段数码管的动态扫描显示实验报告实验四 七段数码管的动态扫描显示 一、 实验目的 1.进一步熟悉QuartusII软件进行FPGA设计的流程; 2.掌握利用宏功能模块进行常用的计数器,译码器的设计; 3.学习和了解动态扫描数码管的工作原理的程序设计方法; 二、 实验原理及过程 实验板上面常用的4为联体的共阳极7段数码管。其接口电路是把所有数码管的8个笔划段a-h同名端连接起来,而每一个数码管由一个独立的公共极COM端控制。对于这种结构的数码管,采用动态显示的方法是最为广泛的一种显示方式之一。 在轮流点亮的过程中每位显示器的点亮时间都极为短暂,但由于人的视觉暂留现象以及发光二极管的余晖效应,尽管

2、实际上每个显示器并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。 本次实验要求在实验板上实现显示00000000-99999999的十进制计数器。使用的是宏模块产生一个16位的二进制计数器counter作为4个数码管的显示数据;编写一个分频模块div,其输出作为计数器counter的时钟信号;编写数码管驱动模块segmain,完成7段译码和扫描显示控制 1、 建立工程,并建立顶层图。 2、 设计计数时钟 设计一分频器,对50Mhz分频输出到计数器,让计数器以较慢速度递增。建立.v文件,输入以下代码 module int_div(clk,div_out);

3、input clk; output reg div_out; reg31:0 clk_div; parameter CLK_FREQ=D50_000_000; parameter DCLK_FREQ=D10; always(posedge clk) begin if(clk_divCLK_FREQ/DCLK_FREQ) clk_div=clk_div+1; else begin clk_div=0; div_out=div_out; end end endmodule 输入完成后,将该文件设为顶层文件,并分析该设计文件,用于检查设计错误。检查无误后,要生成一个分频器的原件符号,根据步骤建立该原

4、件的原件符号。 3、数码管扫描显示程序设计 数码管扫描显示程序如下: module segmain(clk,reset_n,datain,seg_data,seg_com); input clk; input reset_n; input31:0 datain; /由于要显示8位数字所以要将输入数据设为32位 output7:0 seg_data; /输出与例子中的一样保持不变,任然为8位 output7:0 seg_com; /设置输出时要用到的8个端口 reg7:0 seg_com; /由于在always语句中要用到,所以再把它们都定义为寄存器变量类型 reg7:0 seg_data;/寄

5、存器变量 reg3:0 bcd_led; /寄存器变量类型 reg36:0 count; /寄存器变量类型,同时count用于计数 integer t; /一个整形变量t always(posedge clk) /always语句用于判断当上升沿来到时计数器的状态,并且设置计数器的归零功能 begin if(!reset_n) count=0; else begin count=count+1; t=datain; end end always(count14:12 or datain) /判断已经计数的状态,并将相应的数码管变亮 begin case(count14:12) 3b000: b

6、egin t=t%10; bcd_led=t3:0; seg_com=8b11111110; end 3b001: begin t=t%100/10; bcd_led=t3:0; seg_com=8b11111101; end 3b010: begin t=t%1000/100; bcd_led=t3:0; seg_com=8b11111011; end 3b011: begin t=t%10000/1000; bcd_led=t3:0; seg_com=8b11110111; end 3b100: begin t=t%100000/10000; bcd_led=t3:0; seg_com=8

7、b11101111; end 3b101: begin t=t%1000000/100000; bcd_led=t3:0; seg_com=8b11011111; end 3b110: begin t=t%10000000/1000000; bcd_led=t3:0; seg_com=8b10111111; end 3b111: begin t=t%100000000/10000000; bcd_led=t3:0; seg_com=8b01111111; end endcase end always(seg_com or bcd_led) begin case(bcd_led) /判断led的

8、数值 4h0:seg_data=8hc0; /共阳低有效 4h1:seg_data=8hf9; 4h2:seg_data=8ha4; 4h3:seg_data=8hb0; 4h4:seg_data=8h99; 4h5:seg_data=8h92; 4h6:seg_data=8h82; 4h7:seg_data=8hf8; 4h8:seg_data=8h80; 4h9:seg_data=8h90; 4ha:seg_data=8h88; 4hb:seg_data=8h83; 4hc:seg_data=8hc6; 4hd:seg_data=8ha1; 4he:seg_data=8h86; 4hf:s

9、eg_data=8h8e; default:seg_data=8hc0; endcase end endmodule 输入完成后,将其设为顶层文件,检验后生成原件符号。 4.调用宏模块设计计数器。双击顶层图空白处,弹出symbol对话框,展开library,找到lmp-counter,弹出宏模块设置对话框,根据讲义和实验要求对其进行设定。 5.设计完整的顶层。返回顶层原理图,根据讲义和需要添加原件完成完整的图。其图如下所示:6.设置芯片和管脚。 参照讲义进行芯片和管脚的配置,并运行该脚本。 7.编译。 将顶层图设为当前顶层实体,然后编译 8.接好下载线,进行下载,下载完成后,会看到8个数码管会显示数值,按reset按钮则清零。 三、 实验小结 根据本次实验,进一步熟悉了quartus2软件进行设计的流程。对如何利用宏功能模块进行计数器,译码器的设计有了初步的了解与掌握。实验后了解了数码管的工作原理,对如何实现显示功能有了很大的提高。通过实验学会举一反三,能够通过课本上的例子进行其他进制的代码编写,并能够实现相应的功能。在进行调用宏模块设计计数器步骤时,要仔细参透实验要求,进行相应的设定,这样才会实现。在实验中尽可能的减少错误和警告次数的出现,并能够根据错误提示,找出问题所在,多请教老师和同学掌握和吸收实验中的精华。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号