实验三彩灯控制器设计实验

上传人:re****.1 文档编号:512264411 上传时间:2023-02-23 格式:DOC 页数:4 大小:28.01KB
返回 下载 相关 举报
实验三彩灯控制器设计实验_第1页
第1页 / 共4页
实验三彩灯控制器设计实验_第2页
第2页 / 共4页
实验三彩灯控制器设计实验_第3页
第3页 / 共4页
实验三彩灯控制器设计实验_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验三彩灯控制器设计实验》由会员分享,可在线阅读,更多相关《实验三彩灯控制器设计实验(4页珍藏版)》请在金锄头文库上搜索。

1、实验三 彩灯控制器设计实验一、实验目的1、 通过实验初步了解实验箱上的频率源的基本使用方法。2、 通过阅读彩灯实验程序,了解编程并行处理的思维方式。3、 初步掌握EDA开发软件的调试方法。二、实验要求1、 阅读和了解彩灯的编程思路。2、 验证彩灯实验。三、实验设备1、 计算机 一台2、 EDA实验箱 一台四、实验原理在本实验中(如附录1-NO.4所示),8个彩灯共阴接地,阳极分别为10k10的8个I/O相连,I/O输出变化的电平,来控制彩灯的点亮。五、实验连线 1 将实验板正中上方,JPLED1(数码管右下方)的短路帽右插;JPLED的七个短路帽全部上插; 2 实验板右下方频率选择区中CLK5

2、(即JP153)的短路帽接1Hz或23Hz;五、实验内容与步骤1、 打开MAX+plus软件,编辑项目文件“File Project Name light,”。2、 打开文本文件,light.vhd。3、 阅读原文件:-彩灯显示实验 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity light isport(clk1:instd_logic; -时钟信号light:bufferstd_logic_vector(7 downto 0); -输出 end light;architectur

3、e behv of light isconstant len:integer:=7;signalbanner:std_logic:=0; -定义信号banner为两种节拍转换信号;signalclk,clk2:std_logic; -信号CLK1,CLK2作为辅助时钟beginclk=(clk1 and banner) or (clk2 and not banner);process(clk1)beginif clk1event and clk1=1 then -CLK1二分频得CLK2clk2=not clk2;end if;end process;process(clk) -variabl

4、eflag:bit_vector(2 downto 0):=000;beginif clkevent and clk=1 thenif flag=000 thenlight=1 & light(len downto 1); -顺序循环移位 if light(1)=1 then -依次点亮 flag:=001;end if;elsif flag=001 then 依次熄灭light=light(len-1 downto 0) & 0;if light(6)=0 thenflag:=010;end if;elsif flag=010 thenlight(len downto 4)=light(le

5、n-1 downto 4)&1; -从中间向两边点light(len-4 downto 0)=1&light(len-4 downto 1);if light(1)=1 thenflag:=011;end if;elsif flag=011 thenlight(len downto 4)=0&light(len downto 5); -从两边向中间熄light(len-4 downto 0)=light(len-5 downto 0)&0;if light(2)=0 thenflag:=100; end if;elsif flag=100 thenlight(len downto 4)=1&l

6、ight(len downto 5); -奇 偶位循环点亮light(len-4 downto 0)=1&light(len-4 downto 1);if light(1)=1 thenflag:=101;end if;elsif flag=101 then light=00000000;flag:=110;elsif flag=110 then -从新开始banner=not banner; -banner信号转换,实现第二种节拍flag:=000;end if;end if;end process;end behv;4、 选择器件:点击”AssignDevice”然后选择EPF10K10L

7、C84-4。5、 源程序编译。按start 开始编译6、 编译成功后,进行引脚分配或改变原有的引脚分配。依次点击菜单栏中:AssignPin/location/Chip.在出现的对话框中点击SearchList,选择一个引脚后点击OK,然后在Chip Resource中的Pin下拉菜单中选择目标引脚号(也可直接输入引脚号),此时如果是第一次分配引脚请点击Add,如果是重新分配引脚则点击Change.引脚按照实验平台所附图纸进行分配(FLEX EPF10K10LC84-A)如该实验:CLK1接第1脚,(注意CLK只能接1脚)灯按下图顺序来接:LIGHT0-P24、LIGHT1-P23、LIGHT

8、2-P22、LIGHT3-P21、LIGHT4-P19、LIGHT5-P18、LIGHT6-P17、LIGHT7-P16 管脚标号light0到light7分别接到8个彩灯的阳极上;clk1为系统时钟,接到频率源上的clk5。管脚标号对应的I/O如下图所示: User Assignments Node Namelight1 clk1light24 light0 light23 light1light22 light2light21 light3light19 light4light18 light5light17 light6light16 light7说明:本书中演示实验的引脚已全部分配、编

9、译完成,可直接下载到芯片中进行实验,也可以根据需要改变引脚分配或重新引脚分配,但要严格按照硬件资源分配表进行分配。1、 然后再编译一次。2、 在菜单栏中点击“MAX+plusprogrammer” 出现programmer对话框。3、 programmer对话框后,选择菜单栏中“JTAG Multi-Device JTAG Chain setup”,如果有文件存在选中它后点击DELET 删除。4、 然后在“ MultiDevice JTAG chain setup”对话框点击“Select Programming File”。单击 light.sof 点OK 。 5、 把编译好的文件添加到“M

10、ULTI-Device JTAG chain setup”对话框中。6、 选择器件 EPF10K10 后点ADD。即完成添加项目。(注意文件后缀 *.sof)。7、 回到“Programmer”对话框 。点击 CONFIGURE 既开始下载。说明: 如若想直接把演示实验的程序下载到目标芯片中,则以上步骤可简化成以下3步.1、 开MAX+PLUS II软件 点击“fileprojectname”出现对话框,选中对话框左下方的选项,点击对话框左上方的light文件, 再点击OK。2、 依次点击“MAX+plusProgrammer”后再点击菜单栏中的JTAGMulti-Device JTAG Ch

11、ain Setup”出现对话框。点击Select Programming File.,出现对话框。将hk-edaSoftlightlight.sof项目选中后点击OK,回到图1.12的对话框,点击ADD把此项目添加到“Multi-Device JTAG Chain Setup”对话框中,点击OK回到Programmer对话框 。3、按实验连线要求,把实验板上需要连接的导线或短路帽插好,最后打开电源,点击”Configure”即进行下载。下载成功后会出现成功的提示,反之会给出失败的提示。五、实验现象 实验箱上中部的八个彩灯采用不同的显示模式,被有规律的依次点亮。六、思考题1、 根据阅读实验程序和实验现象,彩灯发生翻转的条件是什么?2、 请画出程序流程图。3、 10K10芯片组和7128芯片组在操作、文件生存等有何不同?请比较。注意:1、 选择不同的频率(只能在1Hz-46Hz之间选择)能使彩灯点亮速度加快。2、 同一个频率段中不能插两个短路帽。3、 下载文件后缀是sof

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 试题/考题 > 初中试题/考题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号