汽车尾灯课程设计++VHDL++EDA

上传人:cn****1 文档编号:512164868 上传时间:2023-05-14 格式:DOC 页数:15 大小:226.51KB
返回 下载 相关 举报
汽车尾灯课程设计++VHDL++EDA_第1页
第1页 / 共15页
汽车尾灯课程设计++VHDL++EDA_第2页
第2页 / 共15页
汽车尾灯课程设计++VHDL++EDA_第3页
第3页 / 共15页
汽车尾灯课程设计++VHDL++EDA_第4页
第4页 / 共15页
汽车尾灯课程设计++VHDL++EDA_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《汽车尾灯课程设计++VHDL++EDA》由会员分享,可在线阅读,更多相关《汽车尾灯课程设计++VHDL++EDA(15页珍藏版)》请在金锄头文库上搜索。

1、黄石理工学院硬件综合课程设计目 录1.引 言11.1设计的目的11.2设计的基本内容11.3 EDA的介绍11.3.1 EDA技术的概念11.3.2 EDA技术的特点21.3.3 EDA设计流程21.4硬件描述语言(VHDL)21.4.1 VHDL的介绍21.4.2 VHDL语言的特点32.总体设计42.1需求分析42.2汽车尾灯控制器的工作原理42.3 汽车运行状态表和总体框图53.详细设计63.1各组成模块63.2时钟分频模块63.3 汽车尾灯主控模块63.4左边灯控制模块73.5右边灯控制模块94.系统仿真与调试104.1分频模块仿真及分析104.2汽车尾灯主控模块仿真及分析104.3左

2、边灯控制模块仿真及分析114.4右边灯控制模块仿真及分析114.5整个系统仿真及分析124.6 总体设计电路图12总结13参考文献141.引 言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理1实现了汽车尾灯常用控制。1.1设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术2并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单

3、元电路的综合设计应用。通过对实用汽车尾灯控制器3的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。1.3 EDA的介绍 1.3.1 EDA技术的概念EDA是电子设计自动化(Electronic Design

4、Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.3.2 EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿

5、真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。1.3.3 EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用FPGA/CPLD布局布线

6、适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。 8、 硬件仿真与测试。1.4硬件描述语言(VHDL)1.4.1 VHDL的介绍VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口

7、。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本1.4.2 VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺无关,与工

8、艺独立,方便工艺转换。3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。2.总体设计2.1需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮 5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用 2.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车

9、右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。系统的整体组装设计原理如图3.1所示。图2.3整体组装设计原理2.3 汽车运行状态表和总体框图汽车尾灯和汽车运行状态表如表1所示

10、。汽车尾灯和汽车运行状态表1-1开关控制汽车运行状态右转尾灯左转尾灯S0 S1 S2R1 R2R3L1L2L30 0 0正常运行灯灭灯灭0 0 1左转弯灯灭按L1L2L3顺序循环点亮0 1 0右转弯按R1R2R3顺序循环点亮灯灭0 1 1临时刹车/检测所有尾灯同时点亮1 0 0倒车所有尾灯按照转弯次序点亮1 0 1晚上行车时R3 ,L3一直点亮汽车尾灯控制电路设计总体框图如图1所示:开关控制电路译码电路74138显示驱动电路记数电路74161R1R2R3 L1L2L3脉冲产生电路555图1 汽车尾灯控制电路设计总体框图3.详细设计3.1各组成模块 实现的主要功能是通过开关控制从而实现汽车尾灯的

11、点亮方式。汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。3.2时钟分频模块整个时钟分频模块的工作框图如图3.2所示。CLK CPSZ图3.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1THEN COUNT = COUNT + 1; END IF; E

12、ND PROCESS; CP= COUNT(3);END ART;3.3 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示LEFT LPRIGHT RPBRAKE LRNIGHT BRAKE_LED NIGHT_LEDCTRL 图3.3 主控模块工作框图汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL ISBEGIN NIGHT_LED=NIGHT; BRAKE_LEDLP=0;RP=0;LRLP=0;RP=1;LRLP=1;RP=0;LRLP=0;RP=0;LR=1; END CASE; END PROCESS;END ART;3.4左边灯控制模块 左边灯控制模块的工作框图如图3.4所示。CLK LP LEDLLR LEDBBRRAKE LEDNNIGHT LC图3.4左边灯控制模块的工作框图左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC ISBEGIN LEDB=BRAKE; LEDN=NIGHT;PROCESS(CLK,LP,LR)BEGIN IF CLKEVENT AND CLK = 1 THEN IF(LR =0)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号