2016年北航FPGA实验报告材料

上传人:cn****1 文档编号:511350600 上传时间:2023-02-26 格式:DOC 页数:22 大小:488KB
返回 下载 相关 举报
2016年北航FPGA实验报告材料_第1页
第1页 / 共22页
2016年北航FPGA实验报告材料_第2页
第2页 / 共22页
2016年北航FPGA实验报告材料_第3页
第3页 / 共22页
2016年北航FPGA实验报告材料_第4页
第4页 / 共22页
2016年北航FPGA实验报告材料_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《2016年北航FPGA实验报告材料》由会员分享,可在线阅读,更多相关《2016年北航FPGA实验报告材料(22页珍藏版)》请在金锄头文库上搜索。

1、电气技术实践可编程逻辑器件FPGA应用开发实验报告姓 名 班 级 学 号 2016年12月目 录一、实验目的 1二、实验要求 1三、实验容 1四、实验代码及实验结果 11、4位二进制加法计数器 12、半加器 33、RS触发器 34、数码管十六进制计数器 55、跑马灯 76、键盘电路 97、LED点阵显示 118多人抢答器 17五、实验感想 20一、实验目的1、 熟悉使用可编程逻辑器件(Altera 公司FPGA Cyclone系列EP1C6Q。2、 熟悉使用硬件描述语言VHDL3、 掌握FPGA集成环境(Altera 公司FPGA Quartusll 9.0)开发流程。4、熟悉使用核心目标系统

2、板与接口电路等工作原理及其功能模块绑定信息。5、熟悉并掌握下载线方式和下载文件的选择。二、实验要求1、学习并掌握文本、图形等输入和时序、功能仿真方法。2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。3、学习并设计各种不同状态机逻辑功能。4、学习并设计由单一模块t较多功能模块集成t系统集成方法。5、学习并选择多种模式显示 (发光二极管显示、米字型数码管显示、七段数码管t动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD晶显示各种字符和图形或静止或移动等方式)。6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。7、实验数目没有要求,关键是

3、看质量,是否是自已编写、调试、实现。三、实验容1、 按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。3、 在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功 能并用多位七段数码管来显示。4、用LED点阵显示任意字符、图形等信息。四、实验代码及实验结果1、4位二进制加法计数器(1) 实验代码library iee

4、e;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity erji nzhi is port(clk,rst: in std_logic;q:out std_logic_vector(3 dow nto 0);end en tity erji nzhi;architecture bhv of erji nzhi issig nal q1:std_logic_vector(3 dow nto 0);begi nprocess(rst,clk)begi nif(rst=0)the nq1=0000;elsif(

5、clkevent and clk = 1)then q1=q1+1;end if;end process;qrr(3) 实验操作 输入信号clk时钟t把FPGA_EA2_P6(Pin_P20)用导线与(FRQ_Q211Hz)连接、rst清零 t N18(SW-1)、输出信号 q3T U12(LED1)、q2 tV12(LED2); q1 V15(LED3); q0W13(LED4)。 把输入信号rst设为“ 1”、clk时钟(FRQ_Q21 1Hz)用导线与(FRQ_Q21 1Hz)连 接。0000T 0001 TT 1111循环显示,(4) 实验现象输出结果信息为第一、二、三、四个发光二极管

6、按照符合实验要求。(该实验板上低电平为“1 ”)2、半加器(1) 实验原理图X-JRdflJOUI. SE(2) 管脚分配Nondle NameDirectionLocal ionI/O Bank/REf broupdO Stands rcReseribednent StrencSlewfferenlial P|* aInput InputPINNISP1NN2O55B5 NO2.5 V.-jlIiJ8mA uk)8mA .ull)o carryOutfKjfPIN V124tu N1Z5 V . ault8mA .ull)2 (default)2 mmOutputPIN U124M N1Z5

7、V.dultjfimA. ult)2 (de4jull)1J(3) 实验操作逻辑分析:输入信号a、b;输出信号分别为sum (和)、carry (进位)。逻辑方程:sum=a b; carry=a * b 。输入信号N18(SW-1)、bt M20(SW-2)、输出信号 sum (和)宀 U12(LED1)、carry (进位)t V12(LED2)(4) 实验现象i输入信号a、b都为“ 0”,输出结果信息为两个发光二极管均为“灭”,说明和和进位 都为0;ii输入信号a为“ 1”,b为“ 0”,输出结果信息为第一个发光二极管“灭”,第 二个发光二极管“亮”,说明和为“1”,进位为0 ;iii输

8、入信号a为“ 0”,b为“ 1”,输出结果信息为第一个发光二极管“灭”,第二个发光二极管“亮”。说明和为“ 1”,进位为“ 0”;iv输入信号a、b都为“ 1”,输出结果信息为第一个发光二极管“亮”第二个发光二极管“灭”,说明和为“0”,进位为“ 1 ” .均符合设计要求3、RS触发器(1) 实验代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all; en tity rs_clk isport( s,r,res :in std_logic;q,no t_q:out std_logic);end

9、 rs_clk;architecture behav of rs_clk is sig nal sel1,sel2: std_logic;begi nprocess(res,sel1,sel2)begi nif res=0 then sel1v=O:sel2v=1:elsif (S=T and R=0) then sel1=1; sel2=0:elsif (S=0 and R=T) then sel1=0; sel2=1:elsif (S=0 and R=0) then sel1=sel1; sel2=sel2;end if;Qv=sel1;no t_qv=sel2;end process;e

10、nd behav;(2)管脚分配Node NamoDirztifHi1 orationI/O Bnk识RFF Gtouf /ORpwrvidrrant StiencShw Ratefforntial P.Io not qOutputPIN V124B4_N12.5 V. ault)8mA ult2 (default)uqOutputPIN_U124B4_N12.S V.ault)8mA .juh)2 (default)iInpu tPIN N1tJ5B5 NO25 V,.duli)8niA ult)囲(,实验操作PIN_V134B4_N12.5 V,.ault)8mA爲(3)实验操作FIN M

11、205B5 NDZ5 V.ult)8mA ult) (3)实验现象将实验现象总结为RS触发器特性表,其中X指0或1均可;输入为” 1”指SW 输入为高,输入为” 0”指SW俞入为低;输出为” 1”指对应LED灯灭(实验台 的LED灯为高电平灭),输出为” 0”指对应LED灯亮。CLK触发输入S输入R原来的Q新的输出Q*100:X保持原状11011110011011010100111X不定状态0XXX保持原状4、数码管十六进制计数器(1) 实验代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all

12、;en tity motor isport(clk:in std_logic;rst:i n std_logic;sel:out std_logic;q:out std_logic_vector(3 dow nto 0);d: out std_logic_vector(7 dow nto 0);end en tity;architecture b1 of motor issig nal q1,q2:stdo gic_vector(3 dow nto 0);begi nprocess(clk,rst)begi nif clkeve nt and clk=1 the nq1=q1+1;q2=0010;end if ;end process;q=q2;process(q1)begi nif(rst=T) then sel=0;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号