实验三-8线3线优先编码器

上传人:博****1 文档编号:510656129 上传时间:2022-12-21 格式:DOCX 页数:3 大小:48.96KB
返回 下载 相关 举报
实验三-8线3线优先编码器_第1页
第1页 / 共3页
实验三-8线3线优先编码器_第2页
第2页 / 共3页
实验三-8线3线优先编码器_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验三-8线3线优先编码器》由会员分享,可在线阅读,更多相关《实验三-8线3线优先编码器(3页珍藏版)》请在金锄头文库上搜索。

1、姓名学号实验日期成绩XXXXXXXXXX年 月 日实验三 基本组合逻辑电路的PLD实现(2) 实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器 实验目的:1. 熟悉用可编程器件实现基本组合逻辑电路的方法。2, 进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入 法,进一步熟悉如何编译,器件选择,管脚分配和仿真。预习要求:1. 回顾数字电路中关于优先编码器的相关知识。 实验说明:1. 用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另

2、一部分采用HDL语*1=1。2. 优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优 先等级对同时输入的多路信号中优先级最高的一路进行编码。3. 8线-3线优先编码器的真值表如下图所示: 实验内容与步骤:1. 新建一个属于自己的工程目录。2. 新建一张电路图文档,调用8线-3线优先编码器芯片74148 (注意其均是低电平有 效),完成设计。3. 对电路图进行编译,仿真。4. 用VerilogHDL语言方式编写一个8线-3线优先编码器。5. 完成编译,管脚分配,并对模块进行仿真。 实验报告要求:1. 将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。2. 将代码关键位置写上相应注释(可用中文)。3. 对仿真波形截图,贴到实验报告中。实验图表与数据:1. 8线-3线优先编码器电路图:2. 8线-3线优先编码器电路仿真波形:3 .8线-3线优先编码器Verilog代码:4. 8线-3线优先编码器Verilog代码仿真波形:

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号