伪随机序列发生器设计

上传人:re****.1 文档编号:510261476 上传时间:2022-09-09 格式:DOCX 页数:4 大小:80.81KB
返回 下载 相关 举报
伪随机序列发生器设计_第1页
第1页 / 共4页
伪随机序列发生器设计_第2页
第2页 / 共4页
伪随机序列发生器设计_第3页
第3页 / 共4页
伪随机序列发生器设计_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《伪随机序列发生器设计》由会员分享,可在线阅读,更多相关《伪随机序列发生器设计(4页珍藏版)》请在金锄头文库上搜索。

1、实验七 伪随机序列发生器设计实验目的1.掌握伪随机序列(m序列)发生器的基本原理和设计方法;2 深入理解 VHDL 中 signal 和 variable 的不同及其应用;设计描述及方法1 . 伪随机序列概述 在扩展频谱通信系统中,伪随机序列起着十分关键的作用。在直接序列扩频系统得发射端,伪随机序列将信息序列的频谱扩展,在接收端,伪随机序列将扩频信号恢复为窄带信号,进而完成信息的 接收。m序列又称为最长线形反馈移位寄存器序列,该序列具有很好的相关性能。m序列发生器的基本 结构为:一*11) ar其中(C, C】,C0)为反馈系数,也是特征多项式系数。这些系数的取值为“1”或“0”,“1” r

2、r-1 0表示该反馈支路连通,“0”表示该反馈支路断开。下图为实际 m 序列发生器的电路图:图中利用D触发器级联的方式完成移位寄存器的功能。在系统清零后,D触发器输出状态均为低 电平,为了避免m序列发生器输出全“0”信号,图中在“模二加”运算后添加了一个“非门”。从图 中 A、B、C、D 四个节点均可得到同一 m 序列,只是序列的初始相位不同。特征多项式系数决定了一个m序列的特征多项式,同时也决定了一个m序列。下表给出了部分m 序列的反馈系数(表中的反馈系数采用八进制表示)寄存器级数rm序列长度m序列产生器反馈系数37134152353145, 67, 75663103, 147, 15571

3、27203, 211, 217, 235, 277, 313, 325, 345, 3678255435, 453, 537, 543, 545, 551, 703, 74795111021, 1055, 1131, 1157, 1167, 11751010232011, 2033, 2157, 2443, 2745, 34711120474005, 4445, 5023, 5263, 6211, 736312409510123, 11417, 12515, 13505, 14127, 1505313819120033, 23261, 24633, 30741, 32535, 375052电路

4、设计基本方法本实验要设计一个寄存器级数为5的m序列发生器,从m序列发生器反馈系数表可知,有三个反 馈系数可选,即可以产生三种不同的m序列;在以下设计的接口描述中choice (1 downtoO)为m序列 选择输入信号,clk为时钟输入信号,reset为复位信号,psout为m序列输出信号。clkpsoutmps.vhd亠mps.vhdresetchoice(1 downto 0)三、程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mps isport (clk,reset

5、: in std_logic;choice : in std_logic_vector(1 downto 0); psout: out std_logic );end mps;architecture behave of mps issignal a :std_logic_vector(4 downto 0);signal k:std_logic;beginprocess(clk,reset,choice,a)begincase choice iswhen 00= k k kk=X;end case;if clkevent and clk=1 thena(0)=k;for i in 1 to 4 loopa(i)=a(i-1);end loop;end if;if(reset=1) thena=00000;end if;end process;psoutresetlrhnir:R1 nilSpsoutMuxOa4.O|1 na-iOUTDAW.01CLR

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号